applies cklang-tidy fixes

This commit is contained in:
Eyck Jentzsch 2023-12-22 20:42:21 +01:00
parent 288f0577f1
commit b9c9e15166
31 changed files with 607 additions and 537 deletions

View File

@ -1,4 +1,3 @@
---
Language: Cpp Language: Cpp
# BasedOnStyle: LLVM # BasedOnStyle: LLVM
# should be in line with IndentWidth # should be in line with IndentWidth
@ -13,8 +12,8 @@ AllowAllParametersOfDeclarationOnNextLine: true
AllowShortBlocksOnASingleLine: false AllowShortBlocksOnASingleLine: false
AllowShortCaseLabelsOnASingleLine: false AllowShortCaseLabelsOnASingleLine: false
AllowShortFunctionsOnASingleLine: All AllowShortFunctionsOnASingleLine: All
AllowShortIfStatementsOnASingleLine: true AllowShortIfStatementsOnASingleLine: false
AllowShortLoopsOnASingleLine: true AllowShortLoopsOnASingleLine: false
AlwaysBreakAfterDefinitionReturnType: None AlwaysBreakAfterDefinitionReturnType: None
AlwaysBreakAfterReturnType: None AlwaysBreakAfterReturnType: None
AlwaysBreakBeforeMultilineStrings: false AlwaysBreakBeforeMultilineStrings: false
@ -39,8 +38,8 @@ BreakBeforeTernaryOperators: true
BreakConstructorInitializersBeforeComma: true BreakConstructorInitializersBeforeComma: true
BreakAfterJavaFieldAnnotations: false BreakAfterJavaFieldAnnotations: false
BreakStringLiterals: true BreakStringLiterals: true
ColumnLimit: 120 ColumnLimit: 140
CommentPragmas: '^ IWYU pragma:' CommentPragmas: '^( IWYU pragma:| @suppress)'
ConstructorInitializerAllOnOneLineOrOnePerLine: false ConstructorInitializerAllOnOneLineOrOnePerLine: false
ConstructorInitializerIndentWidth: 0 ConstructorInitializerIndentWidth: 0
ContinuationIndentWidth: 4 ContinuationIndentWidth: 4
@ -76,13 +75,13 @@ PenaltyBreakFirstLessLess: 120
PenaltyBreakString: 1000 PenaltyBreakString: 1000
PenaltyExcessCharacter: 1000000 PenaltyExcessCharacter: 1000000
PenaltyReturnTypeOnItsOwnLine: 60 PenaltyReturnTypeOnItsOwnLine: 60
PointerAlignment: Right PointerAlignment: Left
ReflowComments: true ReflowComments: true
SortIncludes: true SortIncludes: true
SpaceAfterCStyleCast: false SpaceAfterCStyleCast: false
SpaceAfterTemplateKeyword: true SpaceAfterTemplateKeyword: true
SpaceBeforeAssignmentOperators: true SpaceBeforeAssignmentOperators: true
SpaceBeforeParens: ControlStatements SpaceBeforeParens: Never
SpaceInEmptyParentheses: false SpaceInEmptyParentheses: false
SpacesBeforeTrailingComments: 1 SpacesBeforeTrailingComments: 1
SpacesInAngles: false SpacesInAngles: false

3
.clang-tidy Normal file
View File

@ -0,0 +1,3 @@
---
Checks: 'clang-diagnostic-*,clang-analyzer-*,clang-diagnostic-*,clang-analyzer-*'
WarningsAsErrors: ''

104
.cproject
View File

@ -28,6 +28,42 @@
</configuration> </configuration>
</storageModule> </storageModule>
<storageModule moduleId="org.eclipse.cdt.core.externalSettings"/> <storageModule moduleId="org.eclipse.cdt.core.externalSettings"/>
<storageModule buildDir="build/${ConfigName}" dirtyTs="1703253448607" moduleId="de.marw.cmake4eclipse.mbs.settings">
<options/>
<defs>
<def name="CMAKE_BUILD_TYPE" type="STRING" val="Debug"/>
<def name="BUILD_SCC_DOCUMENTATION" type="BOOL" val="OFF"/>
<def name="ENABLE_CLANG_TIDY" type="STRING" val="ON"/>
</defs>
</storageModule>
</cconfiguration>
<cconfiguration id="cmake4eclipse.mbs.toolchain.cmake.134761605.1785168986">
<storageModule buildSystemId="org.eclipse.cdt.managedbuilder.core.configurationDataProvider" id="cmake4eclipse.mbs.toolchain.cmake.134761605.1785168986" moduleId="org.eclipse.cdt.core.settings" name="Release">
<externalSettings/>
<extensions>
<extension id="org.eclipse.cdt.core.PE64" point="org.eclipse.cdt.core.BinaryParser"/>
<extension id="org.eclipse.cdt.core.GNU_ELF" point="org.eclipse.cdt.core.BinaryParser"/>
<extension id="org.eclipse.cdt.core.ELF" point="org.eclipse.cdt.core.BinaryParser"/>
<extension id="org.eclipse.cdt.core.GmakeErrorParser" point="org.eclipse.cdt.core.ErrorParser"/>
<extension id="org.eclipse.cdt.core.GLDErrorParser" point="org.eclipse.cdt.core.ErrorParser"/>
<extension id="org.eclipse.cdt.core.GCCErrorParser" point="org.eclipse.cdt.core.ErrorParser"/>
</extensions>
</storageModule>
<storageModule moduleId="cdtBuildSystem" version="4.0.0">
<configuration artifactName="${ProjName}" buildProperties="" description="" id="cmake4eclipse.mbs.toolchain.cmake.134761605.1785168986" name="Release" optionalBuildProperties="org.eclipse.cdt.docker.launcher.containerbuild.property.selectedvolumes=,org.eclipse.cdt.docker.launcher.containerbuild.property.volumes=" parent="org.eclipse.cdt.build.core.emptycfg">
<folderInfo id="cmake4eclipse.mbs.toolchain.cmake.134761605.1785168986." name="/" resourcePath="">
<toolChain id="cmake4eclipse.mbs.toolchain.cmake.16485475" name="CMake driven" superClass="cmake4eclipse.mbs.toolchain.cmake">
<targetPlatform id="cmake4eclipse.mbs.targetPlatform.cmake.1713261153" name="Any Platform" superClass="cmake4eclipse.mbs.targetPlatform.cmake"/>
<builder buildPath="/SystemC-Components-Test/build/Debug" id="cmake4eclipse.mbs.builder.322228822" keepEnvironmentInBuildfile="false" name="CMake Builder" superClass="cmake4eclipse.mbs.builder"/>
<tool id="cmake4eclipse.mbs.toolchain.tool.dummy.944613359" name="CMake" superClass="cmake4eclipse.mbs.toolchain.tool.dummy">
<inputType id="cmake4eclipse.mbs.inputType.c.1854920030" superClass="cmake4eclipse.mbs.inputType.c"/>
<inputType id="cmake4eclipse.mbs.inputType.cpp.525905746" superClass="cmake4eclipse.mbs.inputType.cpp"/>
</tool>
</toolChain>
</folderInfo>
</configuration>
</storageModule>
<storageModule moduleId="org.eclipse.cdt.core.externalSettings"/>
<storageModule buildDir="build/${ConfigName}" dirtyTs="1673562408113" moduleId="de.marw.cmake4eclipse.mbs.settings"> <storageModule buildDir="build/${ConfigName}" dirtyTs="1673562408113" moduleId="de.marw.cmake4eclipse.mbs.settings">
<options/> <options/>
<defs> <defs>
@ -36,26 +72,56 @@
</defs> </defs>
</storageModule> </storageModule>
</cconfiguration> </cconfiguration>
<cconfiguration id="cmake4eclipse.mbs.toolchain.cmake.134761605.1198783022">
<storageModule buildSystemId="org.eclipse.cdt.managedbuilder.core.configurationDataProvider" id="cmake4eclipse.mbs.toolchain.cmake.134761605.1198783022" moduleId="org.eclipse.cdt.core.settings" name="ClangTidy">
<externalSettings/>
<extensions>
<extension id="org.eclipse.cdt.core.PE64" point="org.eclipse.cdt.core.BinaryParser"/>
<extension id="org.eclipse.cdt.core.GNU_ELF" point="org.eclipse.cdt.core.BinaryParser"/>
<extension id="org.eclipse.cdt.core.ELF" point="org.eclipse.cdt.core.BinaryParser"/>
<extension id="org.eclipse.cdt.core.GmakeErrorParser" point="org.eclipse.cdt.core.ErrorParser"/>
<extension id="org.eclipse.cdt.core.GLDErrorParser" point="org.eclipse.cdt.core.ErrorParser"/>
<extension id="org.eclipse.cdt.core.GCCErrorParser" point="org.eclipse.cdt.core.ErrorParser"/>
</extensions>
</storageModule>
<storageModule moduleId="cdtBuildSystem" version="4.0.0">
<configuration artifactName="${ProjName}" buildProperties="" description="" id="cmake4eclipse.mbs.toolchain.cmake.134761605.1198783022" name="ClangTidy" optionalBuildProperties="org.eclipse.cdt.docker.launcher.containerbuild.property.dockerdpath=,org.eclipse.cdt.docker.launcher.containerbuild.property.selectedvolumes=,org.eclipse.cdt.docker.launcher.containerbuild.property.volumes=,org.eclipse.cdt.docker.launcher.containerbuild.property.connection=ssh://eyck@kraftwerk02:22" parent="org.eclipse.cdt.build.core.emptycfg">
<folderInfo id="cmake4eclipse.mbs.toolchain.cmake.134761605.1198783022." name="/" resourcePath="">
<toolChain id="cmake4eclipse.mbs.toolchain.cmake.1529597056" name="CMake driven" superClass="cmake4eclipse.mbs.toolchain.cmake">
<targetPlatform id="cmake4eclipse.mbs.targetPlatform.cmake.157305521" name="Any Platform" superClass="cmake4eclipse.mbs.targetPlatform.cmake"/>
<builder buildPath="/SystemC-Components-Test/build/Debug" id="cmake4eclipse.mbs.builder.407860995" keepEnvironmentInBuildfile="false" name="CMake Builder" superClass="cmake4eclipse.mbs.builder"/>
<tool id="cmake4eclipse.mbs.toolchain.tool.dummy.1269675407" name="CMake" superClass="cmake4eclipse.mbs.toolchain.tool.dummy">
<inputType id="cmake4eclipse.mbs.inputType.c.2145364949" superClass="cmake4eclipse.mbs.inputType.c"/>
<inputType id="cmake4eclipse.mbs.inputType.cpp.143816444" superClass="cmake4eclipse.mbs.inputType.cpp"/>
</tool>
</toolChain>
</folderInfo>
</configuration>
</storageModule>
<storageModule moduleId="org.eclipse.cdt.core.externalSettings"/>
<storageModule buildDir="build/${ConfigName}" dirtyTs="1703253448607" moduleId="de.marw.cmake4eclipse.mbs.settings">
<options/>
<defs>
<def name="CMAKE_BUILD_TYPE" type="STRING" val="Debug"/>
<def name="BUILD_SCC_DOCUMENTATION" type="BOOL" val="OFF"/>
<def name="ENABLE_CLANG_TIDY" type="STRING" val="ON"/>
</defs>
</storageModule>
</cconfiguration>
</storageModule> </storageModule>
<storageModule moduleId="cdtBuildSystem" version="4.0.0"> <storageModule moduleId="cdtBuildSystem" version="4.0.0">
<project id="SystemC-Components-Test.null.276784792" name="SystemC-Components-Test"/> <project id="SystemC-Components-Test.null.276784792" name="SystemC-Components-Test"/>
</storageModule> </storageModule>
<storageModule moduleId="org.eclipse.cdt.core.LanguageSettingsProviders"/> <storageModule moduleId="org.eclipse.cdt.core.LanguageSettingsProviders"/>
<storageModule moduleId="refreshScope" versionNumber="2"> <storageModule moduleId="refreshScope" versionNumber="2">
<configuration configurationName="ClangTidy">
<resource resourceType="PROJECT" workspacePath="/SystemC-Components-Test"/>
</configuration>
<configuration configurationName="Default"> <configuration configurationName="Default">
<resource resourceType="PROJECT" workspacePath="/SystemC-Components-Test"/> <resource resourceType="PROJECT" workspacePath="/SystemC-Components-Test"/>
</configuration> </configuration>
<configuration configurationName="Debug"/> <configuration configurationName="Debug"/>
</storageModule> </storageModule>
<storageModule moduleId="scannerConfiguration">
<autodiscovery enabled="true" problemReportingEnabled="true" selectedProfileId=""/>
<scannerConfigBuildInfo instanceId="cmake4eclipse.mbs.toolchain.cmake.134761605;cmake4eclipse.mbs.toolchain.cmake.134761605.1159094612;cmake4eclipse.mbs.toolchain.tool.dummy.326050058;cmake4eclipse.mbs.inputType.cpp.459033018">
<autodiscovery enabled="true" problemReportingEnabled="true" selectedProfileId=""/>
</scannerConfigBuildInfo>
<scannerConfigBuildInfo instanceId="cmake4eclipse.mbs.toolchain.cmake.134761605;cmake4eclipse.mbs.toolchain.cmake.134761605.1159094612;cmake4eclipse.mbs.toolchain.tool.dummy.326050058;cmake4eclipse.mbs.inputType.c.1524512146">
<autodiscovery enabled="true" problemReportingEnabled="true" selectedProfileId=""/>
</scannerConfigBuildInfo>
</storageModule>
<storageModule moduleId="org.eclipse.cdt.internal.ui.text.commentOwnerProjectMappings"/> <storageModule moduleId="org.eclipse.cdt.internal.ui.text.commentOwnerProjectMappings"/>
<storageModule moduleId="org.eclipse.cdt.make.core.buildtargets"> <storageModule moduleId="org.eclipse.cdt.make.core.buildtargets">
<buildTargets> <buildTargets>
@ -69,4 +135,24 @@
</target> </target>
</buildTargets> </buildTargets>
</storageModule> </storageModule>
<storageModule cmakelistsFolder="" moduleId="de.marw.cmake4eclipse.mbs.settings">
<targets>
<target name=""/>
</targets>
</storageModule>
<storageModule moduleId="scannerConfiguration">
<autodiscovery enabled="true" problemReportingEnabled="true" selectedProfileId=""/>
<scannerConfigBuildInfo instanceId="cmake4eclipse.mbs.toolchain.cmake.134761605;cmake4eclipse.mbs.toolchain.cmake.134761605.1159094612;cmake4eclipse.mbs.toolchain.tool.dummy.326050058;cmake4eclipse.mbs.inputType.c.1524512146">
<autodiscovery enabled="true" problemReportingEnabled="true" selectedProfileId=""/>
</scannerConfigBuildInfo>
<scannerConfigBuildInfo instanceId="cmake4eclipse.mbs.toolchain.cmake.134761605.1198783022;cmake4eclipse.mbs.toolchain.cmake.134761605.1198783022.;cmake4eclipse.mbs.toolchain.tool.dummy.1269675407;cmake4eclipse.mbs.inputType.c.2145364949">
<autodiscovery enabled="true" problemReportingEnabled="true" selectedProfileId=""/>
</scannerConfigBuildInfo>
<scannerConfigBuildInfo instanceId="cmake4eclipse.mbs.toolchain.cmake.134761605;cmake4eclipse.mbs.toolchain.cmake.134761605.1159094612;cmake4eclipse.mbs.toolchain.tool.dummy.326050058;cmake4eclipse.mbs.inputType.cpp.459033018">
<autodiscovery enabled="true" problemReportingEnabled="true" selectedProfileId=""/>
</scannerConfigBuildInfo>
<scannerConfigBuildInfo instanceId="cmake4eclipse.mbs.toolchain.cmake.134761605.1198783022;cmake4eclipse.mbs.toolchain.cmake.134761605.1198783022.;cmake4eclipse.mbs.toolchain.tool.dummy.1269675407;cmake4eclipse.mbs.inputType.cpp.143816444">
<autodiscovery enabled="true" problemReportingEnabled="true" selectedProfileId=""/>
</scannerConfigBuildInfo>
</storageModule>
</cproject> </cproject>

1
.gitignore vendored
View File

@ -43,3 +43,4 @@
/*.fst /*.fst
/*.gtkw /*.gtkw
/.envrc.* /.envrc.*
/.direnv/

View File

@ -42,21 +42,21 @@ if(ENABLE_COVERAGE)
set(COVERAGE_EXCLUDES "osci-lib/scc/*" "/engr/dev/tools/*") set(COVERAGE_EXCLUDES "osci-lib/scc/*" "/engr/dev/tools/*")
endif() endif()
find_program(CLANG_TIDY_EXE NAMES "clang-tidy-9") find_program(CLANG_TIDY_EXE NAMES "clang-tidy")
if(ENABLE_CLANG_TIDY) if(ENABLE_CLANG_TIDY)
if(CLANG_TIDY_EXE) if(CLANG_TIDY_EXE)
message(STATUS "clang-tidy found: ${CLANG_TIDY_EXE}") message(STATUS "clang-tidy found: ${CLANG_TIDY_EXE}")
set(CLANG_TIDY_CHECKS "-*,modernize-*,-modernize-use-trailing-return-type,clang-analyzer-core.*,clang-analyzer-cplusplus.*") #set(CLANG_TIDY_CHECKS "-*,modernize-*,-modernize-use-trailing-return-type,clang-analyzer-core.*,clang-analyzer-cplusplus.*")
set(CMAKE_CXX_CLANG_TIDY set(CMAKE_CXX_CLANG_TIDY ${CLANG_TIDY_EXE};-fix)
${CLANG_TIDY_EXE}; else()
-checks=${CLANG_TIDY_CHECKS}; message(AUTHOR_WARNING "clang-tidy not found!")
-fix;) set(CMAKE_CXX_CLANG_TIDY "" CACHE STRING "" FORCE) # delete it
else() endif()
message(AUTHOR_WARNING "clang-tidy not found!")
set(CMAKE_CXX_CLANG_TIDY "" CACHE STRING "" FORCE) # delete it
endif()
endif() endif()
set(CLANG_FORMAT_EXCLUDE_PATTERNS "/third_party/")
find_package(ClangFormat)
set(CONAN_CMAKE_SILENT_OUTPUT ON) set(CONAN_CMAKE_SILENT_OUTPUT ON)
conan_check() conan_check()
conan_configure(REQUIRES fmt/8.0.1 spdlog/1.9.2 boost/1.75.0 gsl-lite/0.37.0 systemc/2.3.3 catch2/3.1.0 zlib/1.2.11 lz4/1.9.4 conan_configure(REQUIRES fmt/8.0.1 spdlog/1.9.2 boost/1.75.0 gsl-lite/0.37.0 systemc/2.3.3 catch2/3.1.0 zlib/1.2.11 lz4/1.9.4

2
scc

@ -1 +1 @@
Subproject commit ffa0f2f7ef98790984fa3786c36b8778c5b52237 Subproject commit 6063f8da997247d68aec9422e39c93458f18bba0

View File

@ -7,39 +7,33 @@ auto factory::get_instance() -> factory& {
return instance; return instance;
} }
factory::factory() : factory::factory()
m_constructors{}, : m_constructors{}
m_objects{} , m_objects{} {}
{ }
void factory::create() { void factory::create() {
for (const auto& item : m_constructors) { for(const auto& item : m_constructors) {
m_objects[item.first] = item.second(); m_objects[item.first] = item.second();
} }
} }
void factory::destroy() { void factory::destroy() { m_objects.clear(); }
m_objects.clear();
}
void factory::add_object(const std::string& name, constructor create) { void factory::add_object(const std::string& name, constructor create) {
auto it = m_constructors.find(name); auto it = m_constructors.find(name);
if (it == m_constructors.cend()) { if(it == m_constructors.cend()) {
m_constructors[name] = create; m_constructors[name] = create;
} } else {
else { throw std::runtime_error("factory::add(): " + name + " object already exist in factory");
throw std::runtime_error("factory::add(): "
+ name + " object already exist in factory");
} }
} }
auto factory::get_object(const std::string& name) -> void* { auto factory::get_object(const std::string& name) -> void* {
auto it = m_objects.find(name); auto it = m_objects.find(name);
if (it == m_objects.cend()) { if(it == m_objects.cend()) {
throw std::runtime_error("factory::get(): " throw std::runtime_error("factory::get(): " + name + " object doesn't exist in factory");
+ name + " object doesn't exist in factory");
} }
return it->second.get(); return it->second.get();

View File

@ -9,29 +9,28 @@
#ifndef SRC_FACTORY_H_ #ifndef SRC_FACTORY_H_
#define SRC_FACTORY_H_ #define SRC_FACTORY_H_
#include <map>
#include <string>
#include <memory>
#include <functional> #include <functional>
#include <map>
#include <memory>
#include <string>
class factory { class factory {
public: public:
static factory& get_instance(); static factory& get_instance();
template<typename T, typename ...Args> template <typename T, typename... Args> class add {
class add {
public: public:
add(Args&&... args); add(Args&&... args);
add(const std::string& name, Args&&... args); add(const std::string& name, Args&&... args);
}; };
template<typename T> template <typename T> static T& get(const std::string& name = "");
static T& get(const std::string& name = "");
void create(); void create();
void destroy(); void destroy();
private: private:
using destructor = std::function<void(void*)>; using destructor = std::function<void(void*)>;
using object = std::unique_ptr<void, destructor>; using object = std::unique_ptr<void, destructor>;
@ -51,27 +50,15 @@ private:
std::map<std::string, object> m_objects; std::map<std::string, object> m_objects;
}; };
template<typename T, typename ...Args> template <typename T, typename... Args> factory::add<T, Args...>::add(Args&&... args) { add("", args...); }
factory::add<T, Args...>::add(Args&&... args) {
add("", args...); template <typename T, typename... Args> factory::add<T, Args...>::add(const std::string& name, Args&&... args) {
factory::get_instance().add_object(name, [args...]() -> object {
return object{new T(std::forward<Args>(args)...), [](void* obj) { delete static_cast<T*>(obj); }};
});
} }
template<typename T, typename ...Args> template <typename T> auto factory::get(const std::string& name) -> T& {
factory::add<T, Args...>::add(const std::string& name, Args&&... args) {
factory::get_instance().add_object(name,
[args...] () -> object {
return object{
new T(std::forward<Args>(args)...),
[] (void* obj) {
delete static_cast<T*>(obj);
}
};
}
);
}
template<typename T> auto
factory::get(const std::string& name) -> T& {
return *static_cast<T*>(factory::get_instance().get_object(name)); return *static_cast<T*>(factory::get_instance().get_object(name));
} }

View File

@ -7,26 +7,26 @@
#include "factory.h" #include "factory.h"
#include <catch2/catch_session.hpp> #include <catch2/catch_session.hpp>
#include <cstdlib>
#include <scc/report.h> #include <scc/report.h>
#include <scc/trace.h> #include <scc/trace.h>
#include <scc/tracer.h> #include <scc/tracer.h>
#include <util/ities.h> #include <util/ities.h>
#include <cstdlib>
using namespace scc; using namespace scc;
using namespace sc_core; using namespace sc_core;
int sc_main(int argc, char* argv[]) { int sc_main(int argc, char* argv[]) {
auto my_name = util::split(argv[0], '/').back(); auto my_name = util::split(argv[0], '/').back();
scc::init_logging(LogConfig().logLevel(getenv("SCC_TEST_VERBOSE")?log::DEBUG:log::FATAL).logAsync(false)); scc::init_logging(LogConfig().logLevel(getenv("SCC_TEST_VERBOSE") ? log::DEBUG : log::FATAL).logAsync(false));
// create tracer if environment variable SCC_TEST_TRACE is defined // create tracer if environment variable SCC_TEST_TRACE is defined
std::unique_ptr<scc::tracer> tracer; std::unique_ptr<scc::tracer> tracer;
if(getenv("SCC_TEST_TRACE")) if(getenv("SCC_TEST_TRACE"))
tracer=std::make_unique<scc::tracer>(my_name, scc::tracer::file_type::TEXT, true); tracer = std::make_unique<scc::tracer>(my_name, scc::tracer::file_type::TEXT, true);
// instantiate design(s) // instantiate design(s)
factory::get_instance().create(); factory::get_instance().create();
// run tests // run tests
int result = Catch::Session().run( argc, argv ); int result = Catch::Session().run(argc, argv);
// destroy design(s) // destroy design(s)
sc_stop(); sc_stop();
factory::get_instance().destroy(); factory::get_instance().destroy();

View File

@ -10,14 +10,14 @@ using namespace sc_core;
factory::add<testbench> tb; factory::add<testbench> tb;
int snoop_id=0; int snoop_id = 0;
bool operator==(tlm::tlm_generic_payload const& a, tlm::tlm_generic_payload const& b){ bool is_equal(tlm::tlm_generic_payload const& a, tlm::tlm_generic_payload const& b) {
auto ret = true; auto ret = true;
ret &= a.get_command() == b.get_command(); ret &= a.get_command() == b.get_command();
ret &= a.get_address() == b.get_address(); ret &= a.get_address() == b.get_address();
ret &= a.get_data_length() == b.get_data_length(); ret &= a.get_data_length() == b.get_data_length();
for(auto i=0u; i<a.get_data_length(); ++i) for(auto i = 0u; i < a.get_data_length(); ++i)
ret &= a.get_data_ptr()[i] == b.get_data_ptr()[i]; ret &= a.get_data_ptr()[i] == b.get_data_ptr()[i];
// if(a.get_byte_enable_ptr() && b.get_byte_enable_ptr()) { // if(a.get_byte_enable_ptr() && b.get_byte_enable_ptr()) {
// ret &= a.get_byte_enable_length() == b.get_byte_enable_length(); // ret &= a.get_byte_enable_length() == b.get_byte_enable_length();
@ -25,11 +25,11 @@ bool operator==(tlm::tlm_generic_payload const& a, tlm::tlm_generic_payload cons
// ret &= a.get_byte_enable_ptr()[i] == b.get_byte_enable_ptr()[i]; // ret &= a.get_byte_enable_ptr()[i] == b.get_byte_enable_ptr()[i];
// } // }
ret &= a.get_command() == b.get_command(); ret &= a.get_command() == b.get_command();
//if(!ret) SCCWARN()<<"Comparison failed: "<<a<<" and "<<b; // if(!ret) SCCWARN()<<"Comparison failed: "<<a<<" and "<<b;
return ret; return ret;
} }
template<typename bus_cfg> template <typename bus_cfg>
tlm::tlm_generic_payload* prepare_trans_ace(uint64_t start_address, unsigned addr_incr, unsigned len, unsigned width, unsigned id) { tlm::tlm_generic_payload* prepare_trans_ace(uint64_t start_address, unsigned addr_incr, unsigned len, unsigned width, unsigned id) {
auto trans = tlm::scc::tlm_mm<>::get().allocate<axi::ace_extension>(len); auto trans = tlm::scc::tlm_mm<>::get().allocate<axi::ace_extension>(len);
trans->set_address(start_address); trans->set_address(start_address);
@ -39,54 +39,51 @@ tlm::tlm_generic_payload* prepare_trans_ace(uint64_t start_address, unsigned add
trans->set_streaming_width(len); trans->set_streaming_width(len);
ext->set_size(scc::ilog2(width)); ext->set_size(scc::ilog2(width));
sc_assert(len < (bus_cfg::BUSWIDTH / 8) || len % (bus_cfg::BUSWIDTH / 8) == 0); sc_assert(len < (bus_cfg::BUSWIDTH / 8) || len % (bus_cfg::BUSWIDTH / 8) == 0);
auto length = (len * 8 - 1) / (8*width); auto length = (len * 8 - 1) / (8 * width);
if(width==(bus_cfg::BUSWIDTH / 8) && start_address % (bus_cfg::BUSWIDTH / 8)) if(width == (bus_cfg::BUSWIDTH / 8) && start_address % (bus_cfg::BUSWIDTH / 8))
length++; length++;
ext->set_length(length); ext->set_length(length);
// ext->set_burst(len * 8 > bus_cfg::buswidth ? axi::burst_e::INCR : axi::burst_e::FIXED); // ext->set_burst(len * 8 > bus_cfg::buswidth ? axi::burst_e::INCR : axi::burst_e::FIXED);
// here len is CachelineSizeBytes // here len is CachelineSizeBytes
// here burtst for read/write_trans and snoop_trans are different // here burtst for read/write_trans and snoop_trans are different
ext->set_burst(axi::burst_e::INCR); //TBD??? ext->set_burst(axi::burst_e::INCR); // TBD???
//ext->set_burst(len*8 > bus_cfg::BUSWIDTH ? axi::burst_e::WRAP : axi::burst_e::INCR); // ext->set_burst(len*8 > bus_cfg::BUSWIDTH ? axi::burst_e::WRAP : axi::burst_e::INCR);
ext->set_id(id); ext->set_id(id);
ext->set_snoop(axi::snoop_e::READ_SHARED); // set it so that is_data_less return true??? ext->set_snoop(axi::snoop_e::READ_SHARED); // set it so that is_data_less return true???
return trans; return trans;
} }
inline void randomize(tlm::tlm_generic_payload& gp) { inline void randomize(tlm::tlm_generic_payload& gp) {
static uint8_t req_cnt{0}; static uint8_t req_cnt{0};
auto addr = gp.get_address();
uint8_t const* src = reinterpret_cast<uint8_t const*>(&addr);
for(size_t i = 0; i < gp.get_data_length(); ++i) { for(size_t i = 0; i < gp.get_data_length(); ++i) {
*(gp.get_data_ptr() + i) = i % 2 ? i : req_cnt; *(gp.get_data_ptr() + i) = i % 2 ? i : req_cnt;
} }
req_cnt++; req_cnt++;
} }
template<typename STATE> template <typename STATE> unsigned run_scenario(STATE& state) {
unsigned run_scenario(STATE& state){
auto& dut = factory::get<testbench>(); auto& dut = factory::get<testbench>();
dut.axi_tgt_pe.set_operation_cb([&state](axi::axi_protocol_types::tlm_payload_type& trans) -> unsigned { dut.axi_tgt_pe.set_operation_cb([&state](axi::axi_protocol_types::tlm_payload_type& trans) -> unsigned {
auto id = axi::get_axi_id(trans); auto id = axi::get_axi_id(trans);
if(trans.is_read()) { if(trans.is_read()) {
for(size_t i = 0; i < trans.get_data_length(); ++i) { for(size_t i = 0; i < trans.get_data_length(); ++i) {
*(trans.get_data_ptr() + i) = i % 2 ? 123 : (state.resp_cnt+128); *(trans.get_data_ptr() + i) = i % 2 ? 123 : (state.resp_cnt + 128);
} }
state.read_tx[id].second.emplace_back(&trans); state.read_tx[id].second.emplace_back(&trans);
} }
if(trans.is_write()) if(trans.is_write())
state.write_tx[id].second.emplace_back(&trans); state.write_tx[id].second.emplace_back(&trans);
SCCDEBUG(__FUNCTION__)<<"RX: "<<trans; SCCDEBUG(__FUNCTION__) << "RX: " << trans;
state.resp_cnt++; state.resp_cnt++;
return 0; return 0;
}); });
dut.transport_cb = [&state](tlm::tlm_generic_payload& trans) -> unsigned { dut.transport_cb = [&state](tlm::tlm_generic_payload& trans) -> unsigned {
SCCDEBUG(__FUNCTION__)<<" update snoop trans, with snoop_id = " << snoop_id; SCCDEBUG(__FUNCTION__) << " update snoop trans, with snoop_id = " << snoop_id;
// extracting address and snoop_e from ac_trans and pack them into cache data trans // extracting address and snoop_e from ac_trans and pack them into cache data trans
auto ac_address = trans.get_address(); auto ac_address = trans.get_address();
auto ext = trans.get_extension<ace_extension>(); auto ext = trans.get_extension<ace_extension>();
auto ac_snoop=ext->get_snoop(); auto ac_snoop = ext->get_snoop();
for(size_t i = 0; i < trans.get_data_length(); ++i) { for(size_t i = 0; i < trans.get_data_length(); ++i) {
*(trans.get_data_ptr() + i) = i % 2 ? i : 128; *(trans.get_data_ptr() + i) = i % 2 ? i : 128;
} }
@ -95,80 +92,84 @@ unsigned run_scenario(STATE& state){
}; };
dut.rst.write(false); dut.rst.write(false);
sc_start(state.ResetCycles*dut.clk.period()); sc_start(state.ResetCycles * dut.clk.period());
dut.rst.write(true); dut.rst.write(true);
sc_start(dut.clk.period()); sc_start(dut.clk.period());
auto run1 = sc_spawn([&dut, &state](){ auto run1 = sc_spawn([&dut, &state]() {
unsigned int StartAddr{0x0}; unsigned int StartAddr{0x0};
for(int i = 0; i < state.NumberOfIterations; ++i) { for(int i = 0; i < state.NumberOfIterations; ++i) {
// tlm::scc::tlm_gp_shared_ptr trans = prepare_trans<testbench::bus_cfg>(StartAddr, 4, state.BurstLengthByte, state.BurstSizeBytes, 1); // tlm::scc::tlm_gp_shared_ptr trans = prepare_trans<testbench::bus_cfg>(StartAddr, 4,
tlm::scc::tlm_gp_shared_ptr trans = prepare_trans_ace<testbench::bus_cfg>(StartAddr, 4, state.BurstLengthByte, state.BurstSizeBytes, 1); // state.BurstLengthByte, state.BurstSizeBytes, 1);
tlm::scc::tlm_gp_shared_ptr trans =
prepare_trans_ace<testbench::bus_cfg>(StartAddr, 4, state.BurstLengthByte, state.BurstSizeBytes, 1);
trans->set_command(tlm::TLM_READ_COMMAND); trans->set_command(tlm::TLM_READ_COMMAND);
SCCDEBUG(__FUNCTION__) << "run1, iteration " << i <<" TX: "<<*trans; SCCDEBUG(__FUNCTION__) << "run1, iteration " << i << " TX: " << *trans;
dut.intor_pe.transport(*trans, false); dut.intor_pe.transport(*trans, false);
state.read_tx[axi::get_axi_id(*trans)].first.emplace_back(trans); state.read_tx[axi::get_axi_id(*trans)].first.emplace_back(trans);
StartAddr += state.BurstSizeBytes; StartAddr += state.BurstSizeBytes;
} }
}); });
auto run2 = sc_spawn([&dut, &state](){ auto run2 = sc_spawn([&dut, &state]() {
unsigned int StartAddr{0x2000}; unsigned int StartAddr{0x2000};
for(int i = 0; i < state.NumberOfIterations; ++i) { for(int i = 0; i < state.NumberOfIterations; ++i) {
tlm::scc::tlm_gp_shared_ptr trans = prepare_trans_ace<testbench::bus_cfg>(StartAddr, 4, state.BurstLengthByte, state.BurstSizeBytes, 2); tlm::scc::tlm_gp_shared_ptr trans =
prepare_trans_ace<testbench::bus_cfg>(StartAddr, 4, state.BurstLengthByte, state.BurstSizeBytes, 2);
trans->set_command(tlm::TLM_WRITE_COMMAND); trans->set_command(tlm::TLM_WRITE_COMMAND);
randomize(*trans); randomize(*trans);
SCCDEBUG(__FUNCTION__) << "run2, iteration " << i <<" TX: "<<*trans; SCCDEBUG(__FUNCTION__) << "run2, iteration " << i << " TX: " << *trans;
dut.intor_pe.transport(*trans, false); dut.intor_pe.transport(*trans, false);
state.write_tx[axi::get_axi_id(*trans)].first.emplace_back(trans); state.write_tx[axi::get_axi_id(*trans)].first.emplace_back(trans);
StartAddr += state.BurstSizeBytes; StartAddr += state.BurstSizeBytes;
} }
}); });
auto run3 = sc_spawn([&dut, &state](){ auto run3 = sc_spawn([&dut, &state]() {
unsigned int StartAddr{0x1000}; unsigned int StartAddr{0x1000};
for(int i = 0; i < state.NumberOfIterations; ++i) { for(int i = 0; i < state.NumberOfIterations; ++i) {
tlm::scc::tlm_gp_shared_ptr trans = prepare_trans_ace<testbench::bus_cfg>(StartAddr, 4, state.BurstLengthByte, state.BurstSizeBytes, 3); tlm::scc::tlm_gp_shared_ptr trans =
prepare_trans_ace<testbench::bus_cfg>(StartAddr, 4, state.BurstLengthByte, state.BurstSizeBytes, 3);
trans->set_command(tlm::TLM_READ_COMMAND); trans->set_command(tlm::TLM_READ_COMMAND);
SCCDEBUG(__FUNCTION__) << "run3, iteration " << i <<" TX: "<<*trans; SCCDEBUG(__FUNCTION__) << "run3, iteration " << i << " TX: " << *trans;
dut.intor_pe.transport(*trans, false); dut.intor_pe.transport(*trans, false);
state.read_tx[axi::get_axi_id(*trans)].first.emplace_back(trans); state.read_tx[axi::get_axi_id(*trans)].first.emplace_back(trans);
StartAddr += state.BurstSizeBytes; StartAddr += state.BurstSizeBytes;
} }
}); });
auto run4 = sc_spawn([&dut, &state](){ auto run4 = sc_spawn([&dut, &state]() {
unsigned int StartAddr{0x3000}; unsigned int StartAddr{0x3000};
for(int i = 0; i < state.NumberOfIterations; ++i) { for(int i = 0; i < state.NumberOfIterations; ++i) {
tlm::scc::tlm_gp_shared_ptr trans = prepare_trans_ace<testbench::bus_cfg>(StartAddr, 4, state.BurstLengthByte, state.BurstSizeBytes, 4); tlm::scc::tlm_gp_shared_ptr trans =
prepare_trans_ace<testbench::bus_cfg>(StartAddr, 4, state.BurstLengthByte, state.BurstSizeBytes, 4);
trans->set_command(tlm::TLM_WRITE_COMMAND); trans->set_command(tlm::TLM_WRITE_COMMAND);
randomize(*trans); randomize(*trans);
SCCDEBUG(__FUNCTION__) << "run4, iteration " << i <<" TX: "<<*trans; SCCDEBUG(__FUNCTION__) << "run4, iteration " << i << " TX: " << *trans;
dut.intor_pe.transport(*trans, false); dut.intor_pe.transport(*trans, false);
state.write_tx[axi::get_axi_id(*trans)].first.emplace_back(trans); state.write_tx[axi::get_axi_id(*trans)].first.emplace_back(trans);
StartAddr += state.BurstSizeBytes; StartAddr += state.BurstSizeBytes;
} }
}); });
auto run5 = sc_spawn([&dut, &state]() {
auto run5 = sc_spawn([&dut, &state](){ unsigned int StartAddr{0x0};
unsigned int StartAddr{0x0}; for(int i = 0; i < state.NumberOfIterations; ++i) {
for(int i = 0; i < state.NumberOfIterations; ++i) { tlm::scc::tlm_gp_shared_ptr trans =
tlm::scc::tlm_gp_shared_ptr trans = prepare_trans_ace<testbench::bus_cfg>(StartAddr, 4, state.CachelineSizeBytes, state.BurstSizeBytes, 5); prepare_trans_ace<testbench::bus_cfg>(StartAddr, 4, state.CachelineSizeBytes, state.BurstSizeBytes, 5);
trans->set_command(tlm::TLM_READ_COMMAND); trans->set_command(tlm::TLM_READ_COMMAND);
SCCDEBUG(__FUNCTION__) << "run1, iteration " << i << "snoop_id = " << snoop_id <<" TX: "<<*trans; SCCDEBUG(__FUNCTION__) << "run1, iteration " << i << "snoop_id = " << snoop_id << " TX: " << *trans;
dut.ace_tgt_pe.snoop(*trans); dut.ace_tgt_pe.snoop(*trans);
SCCDEBUG(__FUNCTION__) << "run1, after iteration "<< i ; SCCDEBUG(__FUNCTION__) << "run1, after iteration " << i;
state.snoop_tx[snoop_id].first.emplace_back(trans); state.snoop_tx[snoop_id].first.emplace_back(trans);
snoop_id++; snoop_id++;
StartAddr += state.BurstSizeBytes; StartAddr += state.BurstSizeBytes;
} }
}); });
unsigned cycles{0}; unsigned cycles{0};
while(cycles<1000 && !(run1.terminated() && run2.terminated() && run3.terminated() && run4.terminated())){ while(cycles < 1000 && !(run1.terminated() && run2.terminated() && run3.terminated() && run4.terminated())) {
// while(cycles<1000 && !(run5.terminated())){ // while(cycles<1000 && !(run5.terminated())){
sc_start(10 * dut.clk.period()); sc_start(10 * dut.clk.period());
cycles+=10; cycles += 10;
} }
return cycles; return cycles;
} }
@ -179,59 +180,59 @@ TEST_CASE("ace_burst_alignment", "[AXI][pin-level]") {
unsigned int BurstLengthByte{16}; unsigned int BurstLengthByte{16};
unsigned int BurstSizeBytes{8}; unsigned int BurstSizeBytes{8};
unsigned int NumberOfIterations{2}; unsigned int NumberOfIterations{2};
unsigned int CachelineSizeBytes={64}; // unsigned int CachelineSizeBytes = {64}; //
std::unordered_map<unsigned, std::pair<std::vector<tlm::scc::tlm_gp_shared_ptr>, std::vector<tlm::scc::tlm_gp_shared_ptr>>> read_tx; std::unordered_map<unsigned, std::pair<std::vector<tlm::scc::tlm_gp_shared_ptr>, std::vector<tlm::scc::tlm_gp_shared_ptr>>> read_tx;
std::unordered_map<unsigned, std::pair<std::vector<tlm::scc::tlm_gp_shared_ptr>, std::vector<tlm::scc::tlm_gp_shared_ptr>>> write_tx; std::unordered_map<unsigned, std::pair<std::vector<tlm::scc::tlm_gp_shared_ptr>, std::vector<tlm::scc::tlm_gp_shared_ptr>>>
std::unordered_map<unsigned, std::pair<std::vector<tlm::scc::tlm_gp_shared_ptr>, std::vector<tlm::scc::tlm_gp_shared_ptr>>> snoop_tx; write_tx;
std::unordered_map<unsigned, std::pair<std::vector<tlm::scc::tlm_gp_shared_ptr>, std::vector<tlm::scc::tlm_gp_shared_ptr>>>
snoop_tx;
unsigned resp_cnt{0}; unsigned resp_cnt{0};
} state; } state;
state.resp_cnt=0; state.resp_cnt = 0;
auto cycles = run_scenario(state); auto cycles = run_scenario(state);
// REQUIRE(cycles<1000); REQUIRE(cycles < 1000);
REQUIRE(sc_report_handler::get_count(SC_ERROR) == 0); REQUIRE(sc_report_handler::get_count(SC_ERROR) == 0);
REQUIRE(sc_report_handler::get_count(SC_WARNING) == 0); REQUIRE(sc_report_handler::get_count(SC_WARNING) == 0);
REQUIRE(state.resp_cnt==4*state.NumberOfIterations); REQUIRE(state.resp_cnt == 4 * state.NumberOfIterations);
// REQUIRE(state.resp_cnt==1*state.NumberOfIterations); // REQUIRE(state.resp_cnt==1*state.NumberOfIterations);
for(auto& e: state.write_tx) { for(auto& e : state.write_tx) {
auto const& send_tx = e.second.first; auto const& send_tx = e.second.first;
auto const& recv_tx = e.second.second; auto const& recv_tx = e.second.second;
REQUIRE(send_tx.size() == recv_tx.size()); REQUIRE(send_tx.size() == recv_tx.size());
for(auto i = 0; i<send_tx.size(); ++i) { for(auto i = 0; i < send_tx.size(); ++i) {
REQUIRE(send_tx[i]->get_response_status() == tlm::TLM_OK_RESPONSE); REQUIRE(send_tx[i]->get_response_status() == tlm::TLM_OK_RESPONSE);
CHECK(*send_tx[i] == *recv_tx[i]); CHECK(is_equal(*send_tx[i], *recv_tx[i]));
} }
} }
for(auto& e: state.read_tx) { for(auto& e : state.read_tx) {
auto const& send_tx = e.second.first; auto const& send_tx = e.second.first;
auto const& recv_tx = e.second.second; auto const& recv_tx = e.second.second;
REQUIRE(send_tx.size() == recv_tx.size()); REQUIRE(send_tx.size() == recv_tx.size());
for(auto i = 0; i<send_tx.size(); ++i){ for(auto i = 0; i < send_tx.size(); ++i) {
REQUIRE(send_tx[i]->get_response_status() == tlm::TLM_OK_RESPONSE); REQUIRE(send_tx[i]->get_response_status() == tlm::TLM_OK_RESPONSE);
SCCDEBUG(__FUNCTION__) << " index = " << i ; SCCDEBUG(__FUNCTION__) << " index = " << i;
// SCCDEBUG(__FUNCTION__) <<" send value = "<<*send_tx[i]; // SCCDEBUG(__FUNCTION__) <<" send value = "<<*send_tx[i];
// SCCDEBUG(__FUNCTION__) <<" received value = "<<*recv_tx[i]; // SCCDEBUG(__FUNCTION__) <<" received value = "<<*recv_tx[i];
CHECK(*send_tx[i] == *recv_tx[i]); CHECK(is_equal(*send_tx[i], *recv_tx[i]));
} }
} }
for(auto& e: state.snoop_tx) { for(auto& e : state.snoop_tx) {
auto const& snoop_tx = e.second.first; auto const& snoop_tx = e.second.first;
auto const& recv_tx = e.second.second; auto const& recv_tx = e.second.second;
REQUIRE(snoop_tx.size() == recv_tx.size()); REQUIRE(snoop_tx.size() == recv_tx.size());
for(auto i = 0; i<snoop_tx.size(); ++i){ for(auto i = 0; i < snoop_tx.size(); ++i) {
REQUIRE(snoop_tx[i]->get_response_status() == tlm::TLM_OK_RESPONSE); REQUIRE(snoop_tx[i]->get_response_status() == tlm::TLM_OK_RESPONSE);
SCCDEBUG(__FUNCTION__) << " index = " << i ; SCCDEBUG(__FUNCTION__) << " index = " << i;
SCCDEBUG(__FUNCTION__) <<" send snoop value = "<<*snoop_tx[i]; SCCDEBUG(__FUNCTION__) << " send snoop value = " << *snoop_tx[i];
SCCDEBUG(__FUNCTION__) <<" received value = "<<*recv_tx[i]; SCCDEBUG(__FUNCTION__) << " received value = " << *recv_tx[i];
// CHECK(*snoop_tx[i] == *recv_tx[i]); // CHECK(*snoop_tx[i] == *recv_tx[i]);
} }
} }
} }
TEST_CASE("ace_narrow_burst", "[AXI][pin-level]") { TEST_CASE("ace_narrow_burst", "[AXI][pin-level]") {
@ -240,37 +241,35 @@ TEST_CASE("ace_narrow_burst", "[AXI][pin-level]") {
unsigned int BurstLengthByte{16}; unsigned int BurstLengthByte{16};
unsigned int BurstSizeBytes{8}; unsigned int BurstSizeBytes{8};
unsigned int NumberOfIterations{2}; unsigned int NumberOfIterations{2};
unsigned int CachelineSizeBytes={64}; // unsigned int CachelineSizeBytes = {64}; //
std::unordered_map<unsigned, std::pair<std::vector<tlm::scc::tlm_gp_shared_ptr>, std::vector<tlm::scc::tlm_gp_shared_ptr>>> read_tx; std::unordered_map<unsigned, std::pair<std::vector<tlm::scc::tlm_gp_shared_ptr>, std::vector<tlm::scc::tlm_gp_shared_ptr>>> read_tx;
std::unordered_map<unsigned, std::pair<std::vector<tlm::scc::tlm_gp_shared_ptr>, std::vector<tlm::scc::tlm_gp_shared_ptr>>> write_tx; std::unordered_map<unsigned, std::pair<std::vector<tlm::scc::tlm_gp_shared_ptr>, std::vector<tlm::scc::tlm_gp_shared_ptr>>>
std::unordered_map<unsigned, std::pair<std::vector<tlm::scc::tlm_gp_shared_ptr>, std::vector<tlm::scc::tlm_gp_shared_ptr>>> snoop_tx; write_tx;
std::unordered_map<unsigned, std::pair<std::vector<tlm::scc::tlm_gp_shared_ptr>, std::vector<tlm::scc::tlm_gp_shared_ptr>>>
snoop_tx;
unsigned resp_cnt{0}; unsigned resp_cnt{0};
} state; } state;
state.resp_cnt=0; state.resp_cnt = 0;
auto cycles = run_scenario(state); auto cycles = run_scenario(state);
REQUIRE(cycles<1000); REQUIRE(cycles < 1000);
REQUIRE(sc_report_handler::get_count(SC_ERROR) == 0); REQUIRE(sc_report_handler::get_count(SC_ERROR) == 0);
REQUIRE(sc_report_handler::get_count(SC_WARNING) == 0); REQUIRE(sc_report_handler::get_count(SC_WARNING) == 0);
REQUIRE(state.resp_cnt==4*state.NumberOfIterations); REQUIRE(state.resp_cnt == 4 * state.NumberOfIterations);
for(auto& e: state.write_tx) { for(auto& e : state.write_tx) {
auto const& send_tx = e.second.first; auto const& send_tx = e.second.first;
auto const& recv_tx = e.second.second; auto const& recv_tx = e.second.second;
REQUIRE(send_tx.size() == recv_tx.size()); REQUIRE(send_tx.size() == recv_tx.size());
for(auto i = 0; i<send_tx.size(); ++i) for(auto i = 0; i < send_tx.size(); ++i)
CHECK(*send_tx[i] == *recv_tx[i]); CHECK(is_equal(*send_tx[i], *recv_tx[i]));
} }
for(auto& e: state.read_tx) { for(auto& e : state.read_tx) {
auto const& send_tx = e.second.first; auto const& send_tx = e.second.first;
auto const& recv_tx = e.second.second; auto const& recv_tx = e.second.second;
REQUIRE(send_tx.size() == recv_tx.size()); REQUIRE(send_tx.size() == recv_tx.size());
for(auto i = 0; i<send_tx.size(); ++i) for(auto i = 0; i < send_tx.size(); ++i)
CHECK(*send_tx[i] == *recv_tx[i]); CHECK(is_equal(*send_tx[i], *recv_tx[i]));
} }
} }

View File

@ -2,8 +2,8 @@
#define _TESTBENCH_H_ #define _TESTBENCH_H_
#include <axi/pe/axi_initiator.h> #include <axi/pe/axi_initiator.h>
#include <axi/pe/simple_target.h>
#include <axi/pe/simple_ace_target.h> #include <axi/pe/simple_ace_target.h>
#include <axi/pe/simple_target.h>
#include <axi/pin/ace_initiator.h> #include <axi/pin/ace_initiator.h>
#include <axi/pin/ace_target.h> #include <axi/pin/ace_target.h>
#include <axi/scv/recorder_modules.h> #include <axi/scv/recorder_modules.h>
@ -13,10 +13,9 @@ using namespace sc_core;
using namespace axi; using namespace axi;
using namespace axi::pe; using namespace axi::pe;
class testbench : public sc_core::sc_module class testbench : public sc_core::sc_module, public tlm::scc::pe::intor_bw_b {
,public tlm::scc::pe::intor_bw_b {
public: public:
using bus_cfg = axi::ace_cfg</*BUSWIDTH=*/64, /*ADDRWIDTH=*/32, /*IDWIDTH=*/4, /*USERWIDTH=*/1,/*CACHELINE*/64>; using bus_cfg = axi::ace_cfg</*BUSWIDTH=*/64, /*ADDRWIDTH=*/32, /*IDWIDTH=*/4, /*USERWIDTH=*/1, /*CACHELINE*/ 64>;
sc_core::sc_time clk_period{10, sc_core::SC_NS}; sc_core::sc_time clk_period{10, sc_core::SC_NS};
sc_core::sc_clock clk{"clk", clk_period, 0.5, sc_core::SC_ZERO_TIME, true}; sc_core::sc_clock clk{"clk", clk_period, 0.5, sc_core::SC_ZERO_TIME, true};
@ -47,7 +46,8 @@ public:
public: public:
SC_HAS_PROCESS(testbench); SC_HAS_PROCESS(testbench);
testbench(): testbench("testbench") {} testbench()
: testbench("testbench") {}
testbench(sc_core::sc_module_name nm) testbench(sc_core::sc_module_name nm)
: sc_core::sc_module(nm) : sc_core::sc_module(nm)
, intor_pe("ace_intor_pe", intor) , intor_pe("ace_intor_pe", intor)
@ -90,10 +90,14 @@ public:
ace_tgt_pe.isckt_axi(tgt_axi); ace_tgt_pe.isckt_axi(tgt_axi);
// for updating snooop transaction // for updating snooop transaction
intor_pe.bw_o(bw_i); intor_pe.bw_o(bw_i);
} }
unsigned transport(tlm::tlm_generic_payload& trans) override { if(transport_cb) return transport_cb(trans); else return 0;} unsigned transport(tlm::tlm_generic_payload& trans) override {
if(transport_cb)
return transport_cb(trans);
else
return 0;
}
std::function<unsigned(tlm::tlm_generic_payload&)> transport_cb; std::function<unsigned(tlm::tlm_generic_payload&)> transport_cb;
}; };

View File

@ -10,13 +10,12 @@ using namespace sc_core;
using namespace ahb; using namespace ahb;
factory::add<testbench> tb; factory::add<testbench> tb;
bool is_equal(tlm::tlm_generic_payload const& a, tlm::tlm_generic_payload const& b) {
bool operator==(tlm::tlm_generic_payload const& a, tlm::tlm_generic_payload const& b){
auto ret = true; auto ret = true;
ret &= a.get_command() == b.get_command(); ret &= a.get_command() == b.get_command();
ret &= a.get_address() == b.get_address(); ret &= a.get_address() == b.get_address();
ret &= a.get_data_length() == b.get_data_length(); ret &= a.get_data_length() == b.get_data_length();
for(auto i=0u; i<a.get_data_length(); ++i) for(auto i = 0u; i < a.get_data_length(); ++i)
ret &= a.get_data_ptr()[i] == b.get_data_ptr()[i]; ret &= a.get_data_ptr()[i] == b.get_data_ptr()[i];
// if(a.get_byte_enable_ptr() && b.get_byte_enable_ptr()) { // if(a.get_byte_enable_ptr() && b.get_byte_enable_ptr()) {
// ret &= a.get_byte_enable_length() == b.get_byte_enable_length(); // ret &= a.get_byte_enable_length() == b.get_byte_enable_length();
@ -24,12 +23,10 @@ bool operator==(tlm::tlm_generic_payload const& a, tlm::tlm_generic_payload cons
// ret &= a.get_byte_enable_ptr()[i] == b.get_byte_enable_ptr()[i]; // ret &= a.get_byte_enable_ptr()[i] == b.get_byte_enable_ptr()[i];
// } // }
ret &= a.get_command() == b.get_command(); ret &= a.get_command() == b.get_command();
//if(!ret) SCCWARN()<<"Comparison failed: "<<a<<" and "<<b; // if(!ret) SCCWARN()<<"Comparison failed: "<<a<<" and "<<b;
return ret; return ret;
} }
template <unsigned BUSWIDTH> tlm::tlm_generic_payload* prepare_trans(uint64_t start_address, unsigned len, unsigned width) {
template<unsigned BUSWIDTH>
tlm::tlm_generic_payload* prepare_trans(uint64_t start_address, unsigned len, unsigned width) {
static unsigned id{0}; static unsigned id{0};
auto trans = tlm::scc::tlm_mm<>::get().allocate<ahb::ahb_extension>(len); auto trans = tlm::scc::tlm_mm<>::get().allocate<ahb::ahb_extension>(len);
trans->set_address(start_address); trans->set_address(start_address);
@ -42,47 +39,44 @@ tlm::tlm_generic_payload* prepare_trans(uint64_t start_address, unsigned len, un
} }
inline void randomize(tlm::tlm_generic_payload& gp) { inline void randomize(tlm::tlm_generic_payload& gp) {
static uint8_t req_cnt{0}; static uint8_t req_cnt{0};
auto addr = gp.get_address();
uint8_t const* src = reinterpret_cast<uint8_t const*>(&addr);
for(size_t i = 0; i < gp.get_data_length(); ++i) { for(size_t i = 0; i < gp.get_data_length(); ++i) {
*(gp.get_data_ptr() + i) = i % 2 ? i : req_cnt; *(gp.get_data_ptr() + i) = i % 2 ? i : req_cnt;
} }
req_cnt++; req_cnt++;
} }
template<typename STATE> template <typename STATE> unsigned run_scenario(STATE& state, unsigned wait_states = 0) {
unsigned run_scenario(STATE& state, unsigned wait_states = 0){
auto& dut = factory::get<testbench>(); auto& dut = factory::get<testbench>();
dut.tsck.register_b_transport([&state, wait_states](tlm::tlm_base_protocol_types::tlm_payload_type& trans, sc_core::sc_time& d) { dut.tsck.register_b_transport([&state, wait_states](tlm::tlm_base_protocol_types::tlm_payload_type& trans, sc_core::sc_time& d) {
if(trans.is_read()) { if(trans.is_read()) {
for(size_t i = 0; i < trans.get_data_length(); ++i) { for(size_t i = 0; i < trans.get_data_length(); ++i) {
*(trans.get_data_ptr() + i) = i % 2 ? i : (state.resp_cnt+128); *(trans.get_data_ptr() + i) = i % 2 ? i : (state.resp_cnt + 128);
} }
state.read_tx.second.emplace_back(&trans); state.read_tx.second.emplace_back(&trans);
} }
if(trans.is_write()) if(trans.is_write())
state.write_tx.second.emplace_back(&trans); state.write_tx.second.emplace_back(&trans);
SCCDEBUG(__FUNCTION__)<<"RX: "<<trans; SCCDEBUG(__FUNCTION__) << "RX: " << trans;
for(unsigned i=0; i<wait_states; ++i) for(unsigned i = 0; i < wait_states; ++i)
sc_core::wait(factory::get<testbench>().clk.posedge_event()); sc_core::wait(factory::get<testbench>().clk.posedge_event());
state.resp_cnt++; state.resp_cnt++;
return 0; return 0;
}); });
dut.rst_n.write(false); dut.rst_n.write(false);
sc_start(state.ResetCycles*dut.clk.period()); sc_start(state.ResetCycles * dut.clk.period());
dut.rst_n.write(true); dut.rst_n.write(true);
sc_start(dut.clk.period()); sc_start(dut.clk.period());
dut.HSEL.write(true); dut.HSEL.write(true);
sc_start(dut.clk.period()); sc_start(dut.clk.period());
auto run1 = sc_spawn([&dut, &state](){ auto run1 = sc_spawn([&dut, &state]() {
unsigned int StartAddr{0x0}; unsigned int StartAddr{0x0};
for(int i = 0; i < state.NumberOfIterations; ++i) { for(int i = 0; i < state.NumberOfIterations; ++i) {
tlm::scc::tlm_gp_shared_ptr trans = prepare_trans<testbench::DWIDTH>(StartAddr, state.BurstLengthByte, state.BurstSizeBytes); tlm::scc::tlm_gp_shared_ptr trans = prepare_trans<testbench::DWIDTH>(StartAddr, state.BurstLengthByte, state.BurstSizeBytes);
trans->set_command(tlm::TLM_READ_COMMAND); trans->set_command(tlm::TLM_READ_COMMAND);
SCCDEBUG(__FUNCTION__) << "task run1, iteration " << i <<" TX: "<<*trans; SCCDEBUG(__FUNCTION__) << "task run1, iteration " << i << " TX: " << *trans;
sc_core::sc_time d; sc_core::sc_time d;
dut.isck->b_transport(*trans, d); dut.isck->b_transport(*trans, d);
state.read_tx.first.emplace_back(trans); state.read_tx.first.emplace_back(trans);
@ -90,13 +84,13 @@ unsigned run_scenario(STATE& state, unsigned wait_states = 0){
} }
SCCDEBUG(__FUNCTION__) << "task run1 finished"; SCCDEBUG(__FUNCTION__) << "task run1 finished";
}); });
auto run2 = sc_spawn([&dut, &state](){ auto run2 = sc_spawn([&dut, &state]() {
unsigned int StartAddr{0x2000}; unsigned int StartAddr{0x2000};
for(int i = 0; i < state.NumberOfIterations; ++i) { for(int i = 0; i < state.NumberOfIterations; ++i) {
tlm::scc::tlm_gp_shared_ptr trans = prepare_trans<testbench::DWIDTH>(StartAddr, state.BurstLengthByte, state.BurstSizeBytes); tlm::scc::tlm_gp_shared_ptr trans = prepare_trans<testbench::DWIDTH>(StartAddr, state.BurstLengthByte, state.BurstSizeBytes);
trans->set_command(tlm::TLM_WRITE_COMMAND); trans->set_command(tlm::TLM_WRITE_COMMAND);
randomize(*trans); randomize(*trans);
SCCDEBUG(__FUNCTION__) << "task run2, iteration " << i <<" TX: "<<*trans; SCCDEBUG(__FUNCTION__) << "task run2, iteration " << i << " TX: " << *trans;
sc_core::sc_time d; sc_core::sc_time d;
dut.isck->b_transport(*trans, d); dut.isck->b_transport(*trans, d);
state.write_tx.first.emplace_back(trans); state.write_tx.first.emplace_back(trans);
@ -104,12 +98,12 @@ unsigned run_scenario(STATE& state, unsigned wait_states = 0){
} }
SCCDEBUG(__FUNCTION__) << "task run2 finished"; SCCDEBUG(__FUNCTION__) << "task run2 finished";
}); });
auto run3 = sc_spawn([&dut, &state](){ auto run3 = sc_spawn([&dut, &state]() {
unsigned int StartAddr{0x1000}; unsigned int StartAddr{0x1000};
for(int i = 0; i < state.NumberOfIterations; ++i) { for(int i = 0; i < state.NumberOfIterations; ++i) {
tlm::scc::tlm_gp_shared_ptr trans = prepare_trans<testbench::DWIDTH>(StartAddr, state.BurstLengthByte, state.BurstSizeBytes); tlm::scc::tlm_gp_shared_ptr trans = prepare_trans<testbench::DWIDTH>(StartAddr, state.BurstLengthByte, state.BurstSizeBytes);
trans->set_command(tlm::TLM_READ_COMMAND); trans->set_command(tlm::TLM_READ_COMMAND);
SCCDEBUG(__FUNCTION__) << "task run3, iteration " << i <<" TX: "<<*trans; SCCDEBUG(__FUNCTION__) << "task run3, iteration " << i << " TX: " << *trans;
sc_core::sc_time d; sc_core::sc_time d;
dut.isck->b_transport(*trans, d); dut.isck->b_transport(*trans, d);
state.read_tx.first.emplace_back(trans); state.read_tx.first.emplace_back(trans);
@ -117,13 +111,13 @@ unsigned run_scenario(STATE& state, unsigned wait_states = 0){
} }
SCCDEBUG(__FUNCTION__) << "task run3 finished"; SCCDEBUG(__FUNCTION__) << "task run3 finished";
}); });
auto run4 = sc_spawn([&dut, &state](){ auto run4 = sc_spawn([&dut, &state]() {
unsigned int StartAddr{0x3000}; unsigned int StartAddr{0x3000};
for(int i = 0; i < state.NumberOfIterations; ++i) { for(int i = 0; i < state.NumberOfIterations; ++i) {
tlm::scc::tlm_gp_shared_ptr trans = prepare_trans<testbench::DWIDTH>(StartAddr, state.BurstLengthByte, state.BurstSizeBytes); tlm::scc::tlm_gp_shared_ptr trans = prepare_trans<testbench::DWIDTH>(StartAddr, state.BurstLengthByte, state.BurstSizeBytes);
trans->set_command(tlm::TLM_WRITE_COMMAND); trans->set_command(tlm::TLM_WRITE_COMMAND);
randomize(*trans); randomize(*trans);
SCCDEBUG(__FUNCTION__) << "task run4, iteration " << i <<" TX: "<<*trans; SCCDEBUG(__FUNCTION__) << "task run4, iteration " << i << " TX: " << *trans;
sc_core::sc_time d; sc_core::sc_time d;
dut.isck->b_transport(*trans, d); dut.isck->b_transport(*trans, d);
state.write_tx.first.emplace_back(trans); state.write_tx.first.emplace_back(trans);
@ -133,9 +127,9 @@ unsigned run_scenario(STATE& state, unsigned wait_states = 0){
}); });
unsigned cycles{0}; unsigned cycles{0};
while(cycles<1000 && !(run1.terminated() && run2.terminated() && run3.terminated() && run4.terminated())){ while(cycles < 1000 && !(run1.terminated() && run2.terminated() && run3.terminated() && run4.terminated())) {
sc_start(10 * dut.clk.period()); sc_start(10 * dut.clk.period());
cycles+=10; cycles += 10;
} }
return cycles; return cycles;
} }
@ -153,19 +147,19 @@ TEST_CASE("ahb_read_write", "[AHB][pin-level]") {
auto cycles = run_scenario(state); auto cycles = run_scenario(state);
REQUIRE(cycles<1000); REQUIRE(cycles < 1000);
REQUIRE(sc_report_handler::get_count(SC_ERROR) == 0); REQUIRE(sc_report_handler::get_count(SC_ERROR) == 0);
REQUIRE(sc_report_handler::get_count(SC_WARNING) == 0); REQUIRE(sc_report_handler::get_count(SC_WARNING) == 0);
REQUIRE(state.resp_cnt==4*state.NumberOfIterations); REQUIRE(state.resp_cnt == 4 * state.NumberOfIterations);
{ {
auto& e = state.write_tx; auto& e = state.write_tx;
auto const& send_tx = e.first; auto const& send_tx = e.first;
auto const& recv_tx = e.second; auto const& recv_tx = e.second;
REQUIRE(send_tx.size() == recv_tx.size()); REQUIRE(send_tx.size() == recv_tx.size());
for(auto i = 0; i<send_tx.size(); ++i) { for(auto i = 0; i < send_tx.size(); ++i) {
REQUIRE(send_tx[i]->get_response_status() == tlm::TLM_OK_RESPONSE); REQUIRE(send_tx[i]->get_response_status() == tlm::TLM_OK_RESPONSE);
CHECK(*send_tx[i] == *recv_tx[i]); CHECK(is_equal(*send_tx[i], *recv_tx[i]));
} }
} }
{ {
@ -173,9 +167,9 @@ TEST_CASE("ahb_read_write", "[AHB][pin-level]") {
auto const& send_tx = e.first; auto const& send_tx = e.first;
auto const& recv_tx = e.second; auto const& recv_tx = e.second;
REQUIRE(send_tx.size() == recv_tx.size()); REQUIRE(send_tx.size() == recv_tx.size());
for(auto i = 0; i<send_tx.size(); ++i){ for(auto i = 0; i < send_tx.size(); ++i) {
REQUIRE(send_tx[i]->get_response_status() == tlm::TLM_OK_RESPONSE); REQUIRE(send_tx[i]->get_response_status() == tlm::TLM_OK_RESPONSE);
CHECK(*send_tx[i] == *recv_tx[i]); CHECK(is_equal(*send_tx[i], *recv_tx[i]));
} }
} }
} }
@ -193,28 +187,26 @@ TEST_CASE("ahb_narrow_read_write", "[AHB][pin-level]") {
auto cycles = run_scenario(state); auto cycles = run_scenario(state);
REQUIRE(cycles<1000); REQUIRE(cycles < 1000);
REQUIRE(sc_report_handler::get_count(SC_ERROR) == 0); REQUIRE(sc_report_handler::get_count(SC_ERROR) == 0);
REQUIRE(sc_report_handler::get_count(SC_WARNING) == 0); REQUIRE(sc_report_handler::get_count(SC_WARNING) == 0);
REQUIRE(state.resp_cnt==4*state.NumberOfIterations); REQUIRE(state.resp_cnt == 4 * state.NumberOfIterations);
{ {
auto& e = state.write_tx; auto& e = state.write_tx;
auto const& send_tx = e.first; auto const& send_tx = e.first;
auto const& recv_tx = e.second; auto const& recv_tx = e.second;
REQUIRE(send_tx.size() == recv_tx.size()); REQUIRE(send_tx.size() == recv_tx.size());
for(auto i = 0; i<send_tx.size(); ++i) for(auto i = 0; i < send_tx.size(); ++i)
CHECK(*send_tx[i] == *recv_tx[i]); CHECK(is_equal(*send_tx[i], *recv_tx[i]));
} }
{ {
auto& e = state.read_tx; auto& e = state.read_tx;
auto const& send_tx = e.first; auto const& send_tx = e.first;
auto const& recv_tx = e.second; auto const& recv_tx = e.second;
REQUIRE(send_tx.size() == recv_tx.size()); REQUIRE(send_tx.size() == recv_tx.size());
for(auto i = 0; i<send_tx.size(); ++i) for(auto i = 0; i < send_tx.size(); ++i)
CHECK(*send_tx[i] == *recv_tx[i]); CHECK(is_equal(*send_tx[i], *recv_tx[i]));
} }
} }
@ -231,19 +223,19 @@ TEST_CASE("ahb_delayed_read_write", "[AHB][pin-level]") {
auto cycles = run_scenario(state, 1); auto cycles = run_scenario(state, 1);
REQUIRE(cycles<1000); REQUIRE(cycles < 1000);
REQUIRE(sc_report_handler::get_count(SC_ERROR) == 0); REQUIRE(sc_report_handler::get_count(SC_ERROR) == 0);
REQUIRE(sc_report_handler::get_count(SC_WARNING) == 0); REQUIRE(sc_report_handler::get_count(SC_WARNING) == 0);
REQUIRE(state.resp_cnt==4*state.NumberOfIterations); REQUIRE(state.resp_cnt == 4 * state.NumberOfIterations);
{ {
auto& e = state.write_tx; auto& e = state.write_tx;
auto const& send_tx = e.first; auto const& send_tx = e.first;
auto const& recv_tx = e.second; auto const& recv_tx = e.second;
REQUIRE(send_tx.size() == recv_tx.size()); REQUIRE(send_tx.size() == recv_tx.size());
for(auto i = 0; i<send_tx.size(); ++i) { for(auto i = 0; i < send_tx.size(); ++i) {
REQUIRE(send_tx[i]->get_response_status() == tlm::TLM_OK_RESPONSE); REQUIRE(send_tx[i]->get_response_status() == tlm::TLM_OK_RESPONSE);
CHECK(*send_tx[i] == *recv_tx[i]); CHECK(is_equal(*send_tx[i], *recv_tx[i]));
} }
} }
{ {
@ -251,10 +243,9 @@ TEST_CASE("ahb_delayed_read_write", "[AHB][pin-level]") {
auto const& send_tx = e.first; auto const& send_tx = e.first;
auto const& recv_tx = e.second; auto const& recv_tx = e.second;
REQUIRE(send_tx.size() == recv_tx.size()); REQUIRE(send_tx.size() == recv_tx.size());
for(auto i = 0; i<send_tx.size(); ++i){ for(auto i = 0; i < send_tx.size(); ++i) {
REQUIRE(send_tx[i]->get_response_status() == tlm::TLM_OK_RESPONSE); REQUIRE(send_tx[i]->get_response_status() == tlm::TLM_OK_RESPONSE);
CHECK(*send_tx[i] == *recv_tx[i]); CHECK(is_equal(*send_tx[i], *recv_tx[i]));
} }
} }
} }

View File

@ -9,7 +9,7 @@ using namespace sc_core;
class testbench : public sc_core::sc_module { class testbench : public sc_core::sc_module {
public: public:
enum { DWIDTH = 32}; enum { DWIDTH = 32 };
sc_core::sc_time clk_period{10, sc_core::SC_NS}; sc_core::sc_time clk_period{10, sc_core::SC_NS};
sc_core::sc_clock clk{"clk", clk_period, 0.5, sc_core::SC_ZERO_TIME, true}; sc_core::sc_clock clk{"clk", clk_period, 0.5, sc_core::SC_ZERO_TIME, true};
sc_core::sc_signal<bool> rst_n{"rst_n"}; sc_core::sc_signal<bool> rst_n{"rst_n"};
@ -35,7 +35,8 @@ public:
public: public:
SC_HAS_PROCESS(testbench); SC_HAS_PROCESS(testbench);
testbench(): testbench("testbench") {} testbench()
: testbench("testbench") {}
testbench(sc_core::sc_module_name nm) testbench(sc_core::sc_module_name nm)
: sc_core::sc_module(nm) { : sc_core::sc_module(nm) {
intor_bfm.HCLK_i(clk); intor_bfm.HCLK_i(clk);
@ -71,9 +72,7 @@ public:
tgt_bfm.isckt(tsck); tgt_bfm.isckt(tsck);
} }
void run1() {}
void run1() {
}
}; };
#endif // _TESTBENCH_H_ #endif // _TESTBENCH_H_

View File

@ -10,12 +10,12 @@ using namespace sc_core;
factory::add<testbench> tb; factory::add<testbench> tb;
bool operator==(tlm::tlm_generic_payload const& a, tlm::tlm_generic_payload const& b){ bool is_equal(tlm::tlm_generic_payload const& a, tlm::tlm_generic_payload const& b) {
auto ret = true; auto ret = true;
ret &= a.get_command() == b.get_command(); ret &= a.get_command() == b.get_command();
ret &= a.get_address() == b.get_address(); ret &= a.get_address() == b.get_address();
ret &= a.get_data_length() == b.get_data_length(); ret &= a.get_data_length() == b.get_data_length();
for(auto i=0u; i<a.get_data_length(); ++i) for(auto i = 0u; i < a.get_data_length(); ++i)
ret &= a.get_data_ptr()[i] == b.get_data_ptr()[i]; ret &= a.get_data_ptr()[i] == b.get_data_ptr()[i];
// if(a.get_byte_enable_ptr() && b.get_byte_enable_ptr()) { // if(a.get_byte_enable_ptr() && b.get_byte_enable_ptr()) {
// ret &= a.get_byte_enable_length() == b.get_byte_enable_length(); // ret &= a.get_byte_enable_length() == b.get_byte_enable_length();
@ -23,11 +23,11 @@ bool operator==(tlm::tlm_generic_payload const& a, tlm::tlm_generic_payload cons
// ret &= a.get_byte_enable_ptr()[i] == b.get_byte_enable_ptr()[i]; // ret &= a.get_byte_enable_ptr()[i] == b.get_byte_enable_ptr()[i];
// } // }
ret &= a.get_command() == b.get_command(); ret &= a.get_command() == b.get_command();
//if(!ret) SCCWARN()<<"Comparison failed: "<<a<<" and "<<b; // if(!ret) SCCWARN()<<"Comparison failed: "<<a<<" and "<<b;
return ret; return ret;
} }
template<typename bus_cfg> template <typename bus_cfg>
tlm::tlm_generic_payload* prepare_trans(uint64_t start_address, unsigned addr_incr, unsigned len, unsigned width, unsigned id) { tlm::tlm_generic_payload* prepare_trans(uint64_t start_address, unsigned addr_incr, unsigned len, unsigned width, unsigned id) {
auto trans = tlm::scc::tlm_mm<>::get().allocate<axi::axi4_extension>(len); auto trans = tlm::scc::tlm_mm<>::get().allocate<axi::axi4_extension>(len);
trans->set_address(start_address); trans->set_address(start_address);
@ -37,8 +37,8 @@ tlm::tlm_generic_payload* prepare_trans(uint64_t start_address, unsigned addr_in
trans->set_streaming_width(len); trans->set_streaming_width(len);
ext->set_size(scc::ilog2(width)); ext->set_size(scc::ilog2(width));
sc_assert(len < (bus_cfg::BUSWIDTH / 8) || len % (bus_cfg::BUSWIDTH / 8) == 0); sc_assert(len < (bus_cfg::BUSWIDTH / 8) || len % (bus_cfg::BUSWIDTH / 8) == 0);
auto length = (len * 8 - 1) / (8*width); auto length = (len * 8 - 1) / (8 * width);
if(width==(bus_cfg::BUSWIDTH / 8) && start_address % (bus_cfg::BUSWIDTH / 8)) if(width == (bus_cfg::BUSWIDTH / 8) && start_address % (bus_cfg::BUSWIDTH / 8))
length++; length++;
ext->set_length(length); ext->set_length(length);
// ext->set_burst(len * 8 > bus_cfg::buswidth ? axi::burst_e::INCR : axi::burst_e::FIXED); // ext->set_burst(len * 8 > bus_cfg::buswidth ? axi::burst_e::INCR : axi::burst_e::FIXED);
@ -48,79 +48,80 @@ tlm::tlm_generic_payload* prepare_trans(uint64_t start_address, unsigned addr_in
} }
inline void randomize(tlm::tlm_generic_payload& gp) { inline void randomize(tlm::tlm_generic_payload& gp) {
static uint8_t req_cnt{0}; static uint8_t req_cnt{0};
auto addr = gp.get_address();
uint8_t const* src = reinterpret_cast<uint8_t const*>(&addr);
for(size_t i = 0; i < gp.get_data_length(); ++i) { for(size_t i = 0; i < gp.get_data_length(); ++i) {
*(gp.get_data_ptr() + i) = i % 2 ? i : req_cnt; *(gp.get_data_ptr() + i) = i % 2 ? i : req_cnt;
} }
req_cnt++; req_cnt++;
} }
template<typename STATE> template <typename STATE> unsigned run_scenario(STATE& state) {
unsigned run_scenario(STATE& state){
auto& dut = factory::get<testbench>(); auto& dut = factory::get<testbench>();
dut.tgt_pe.set_operation_cb([&state](axi::axi_protocol_types::tlm_payload_type& trans) -> unsigned { dut.tgt_pe.set_operation_cb([&state](axi::axi_protocol_types::tlm_payload_type& trans) -> unsigned {
auto id = axi::get_axi_id(trans); auto id = axi::get_axi_id(trans);
if(trans.is_read()) { if(trans.is_read()) {
for(size_t i = 0; i < trans.get_data_length(); ++i) { for(size_t i = 0; i < trans.get_data_length(); ++i) {
*(trans.get_data_ptr() + i) = i % 2 ? i : (state.resp_cnt+128); *(trans.get_data_ptr() + i) = i % 2 ? i : (state.resp_cnt + 128);
} }
state.read_tx[id].second.emplace_back(&trans); state.read_tx[id].second.emplace_back(&trans);
} }
if(trans.is_write()) if(trans.is_write())
state.write_tx[id].second.emplace_back(&trans); state.write_tx[id].second.emplace_back(&trans);
SCCDEBUG(__FUNCTION__)<<"RX: "<<trans; SCCDEBUG(__FUNCTION__) << "RX: " << trans;
state.resp_cnt++; state.resp_cnt++;
return 0; return 0;
}); });
dut.rst.write(false); dut.rst.write(false);
sc_start(state.ResetCycles*dut.clk.period()); sc_start(state.ResetCycles * dut.clk.period());
dut.rst.write(true); dut.rst.write(true);
sc_start(dut.clk.period()); sc_start(dut.clk.period());
auto run1 = sc_spawn([&dut, &state](){ auto run1 = sc_spawn([&dut, &state]() {
unsigned int StartAddr{0x0}; unsigned int StartAddr{0x0};
for(int i = 0; i < state.NumberOfIterations; ++i) { for(int i = 0; i < state.NumberOfIterations; ++i) {
tlm::scc::tlm_gp_shared_ptr trans = prepare_trans<testbench::bus_cfg>(StartAddr, 4, state.BurstLengthByte, state.BurstSizeBytes, 1); tlm::scc::tlm_gp_shared_ptr trans =
prepare_trans<testbench::bus_cfg>(StartAddr, 4, state.BurstLengthByte, state.BurstSizeBytes, 1);
trans->set_command(tlm::TLM_READ_COMMAND); trans->set_command(tlm::TLM_READ_COMMAND);
SCCDEBUG(__FUNCTION__) << "run1, iteration " << i <<" TX: "<<*trans; SCCDEBUG(__FUNCTION__) << "run1, iteration " << i << " TX: " << *trans;
dut.intor_pe.transport(*trans, false); dut.intor_pe.transport(*trans, false);
state.read_tx[axi::get_axi_id(*trans)].first.emplace_back(trans); state.read_tx[axi::get_axi_id(*trans)].first.emplace_back(trans);
StartAddr += state.BurstSizeBytes; StartAddr += state.BurstSizeBytes;
} }
}); });
auto run2 = sc_spawn([&dut, &state](){ auto run2 = sc_spawn([&dut, &state]() {
unsigned int StartAddr{0x2000}; unsigned int StartAddr{0x2000};
for(int i = 0; i < state.NumberOfIterations; ++i) { for(int i = 0; i < state.NumberOfIterations; ++i) {
tlm::scc::tlm_gp_shared_ptr trans = prepare_trans<testbench::bus_cfg>(StartAddr, 4, state.BurstLengthByte, state.BurstSizeBytes, 2); tlm::scc::tlm_gp_shared_ptr trans =
prepare_trans<testbench::bus_cfg>(StartAddr, 4, state.BurstLengthByte, state.BurstSizeBytes, 2);
trans->set_command(tlm::TLM_WRITE_COMMAND); trans->set_command(tlm::TLM_WRITE_COMMAND);
randomize(*trans); randomize(*trans);
SCCDEBUG(__FUNCTION__) << "run2, iteration " << i <<" TX: "<<*trans; SCCDEBUG(__FUNCTION__) << "run2, iteration " << i << " TX: " << *trans;
dut.intor_pe.transport(*trans, false); dut.intor_pe.transport(*trans, false);
state.write_tx[axi::get_axi_id(*trans)].first.emplace_back(trans); state.write_tx[axi::get_axi_id(*trans)].first.emplace_back(trans);
StartAddr += state.BurstSizeBytes; StartAddr += state.BurstSizeBytes;
} }
}); });
auto run3 = sc_spawn([&dut, &state](){ auto run3 = sc_spawn([&dut, &state]() {
unsigned int StartAddr{0x1000}; unsigned int StartAddr{0x1000};
for(int i = 0; i < state.NumberOfIterations; ++i) { for(int i = 0; i < state.NumberOfIterations; ++i) {
tlm::scc::tlm_gp_shared_ptr trans = prepare_trans<testbench::bus_cfg>(StartAddr, 4, state.BurstLengthByte, state.BurstSizeBytes, 3); tlm::scc::tlm_gp_shared_ptr trans =
prepare_trans<testbench::bus_cfg>(StartAddr, 4, state.BurstLengthByte, state.BurstSizeBytes, 3);
trans->set_command(tlm::TLM_READ_COMMAND); trans->set_command(tlm::TLM_READ_COMMAND);
SCCDEBUG(__FUNCTION__) << "run3, iteration " << i <<" TX: "<<*trans; SCCDEBUG(__FUNCTION__) << "run3, iteration " << i << " TX: " << *trans;
dut.intor_pe.transport(*trans, false); dut.intor_pe.transport(*trans, false);
state.read_tx[axi::get_axi_id(*trans)].first.emplace_back(trans); state.read_tx[axi::get_axi_id(*trans)].first.emplace_back(trans);
StartAddr += state.BurstSizeBytes; StartAddr += state.BurstSizeBytes;
} }
}); });
auto run4 = sc_spawn([&dut, &state](){ auto run4 = sc_spawn([&dut, &state]() {
unsigned int StartAddr{0x3000}; unsigned int StartAddr{0x3000};
for(int i = 0; i < state.NumberOfIterations; ++i) { for(int i = 0; i < state.NumberOfIterations; ++i) {
tlm::scc::tlm_gp_shared_ptr trans = prepare_trans<testbench::bus_cfg>(StartAddr, 4, state.BurstLengthByte, state.BurstSizeBytes, 4); tlm::scc::tlm_gp_shared_ptr trans =
prepare_trans<testbench::bus_cfg>(StartAddr, 4, state.BurstLengthByte, state.BurstSizeBytes, 4);
trans->set_command(tlm::TLM_WRITE_COMMAND); trans->set_command(tlm::TLM_WRITE_COMMAND);
randomize(*trans); randomize(*trans);
SCCDEBUG(__FUNCTION__) << "run4, iteration " << i <<" TX: "<<*trans; SCCDEBUG(__FUNCTION__) << "run4, iteration " << i << " TX: " << *trans;
dut.intor_pe.transport(*trans, false); dut.intor_pe.transport(*trans, false);
state.write_tx[axi::get_axi_id(*trans)].first.emplace_back(trans); state.write_tx[axi::get_axi_id(*trans)].first.emplace_back(trans);
StartAddr += state.BurstSizeBytes; StartAddr += state.BurstSizeBytes;
@ -128,9 +129,9 @@ unsigned run_scenario(STATE& state){
}); });
unsigned cycles{0}; unsigned cycles{0};
while(cycles<1000 && !(run1.terminated() && run2.terminated() && run3.terminated() && run4.terminated())){ while(cycles < 1000 && !(run1.terminated() && run2.terminated() && run3.terminated() && run4.terminated())) {
sc_start(10 * dut.clk.period()); sc_start(10 * dut.clk.period());
cycles+=10; cycles += 10;
} }
return cycles; return cycles;
} }
@ -142,34 +143,34 @@ TEST_CASE("axi4_burst_alignment", "[AXI][pin-level]") {
unsigned int BurstSizeBytes{8}; unsigned int BurstSizeBytes{8};
unsigned int NumberOfIterations{8}; unsigned int NumberOfIterations{8};
std::unordered_map<unsigned, std::pair<std::vector<tlm::scc::tlm_gp_shared_ptr>, std::vector<tlm::scc::tlm_gp_shared_ptr>>> read_tx; std::unordered_map<unsigned, std::pair<std::vector<tlm::scc::tlm_gp_shared_ptr>, std::vector<tlm::scc::tlm_gp_shared_ptr>>> read_tx;
std::unordered_map<unsigned, std::pair<std::vector<tlm::scc::tlm_gp_shared_ptr>, std::vector<tlm::scc::tlm_gp_shared_ptr>>> write_tx; std::unordered_map<unsigned, std::pair<std::vector<tlm::scc::tlm_gp_shared_ptr>, std::vector<tlm::scc::tlm_gp_shared_ptr>>>
write_tx;
unsigned resp_cnt{0}; unsigned resp_cnt{0};
} state; } state;
auto cycles = run_scenario(state); auto cycles = run_scenario(state);
REQUIRE(cycles<1000); REQUIRE(cycles < 1000);
REQUIRE(sc_report_handler::get_count(SC_ERROR) == 0); REQUIRE(sc_report_handler::get_count(SC_ERROR) == 0);
REQUIRE(sc_report_handler::get_count(SC_WARNING) == 0); REQUIRE(sc_report_handler::get_count(SC_WARNING) == 0);
REQUIRE(state.resp_cnt==4*state.NumberOfIterations); REQUIRE(state.resp_cnt == 4 * state.NumberOfIterations);
for(auto& e: state.write_tx) { for(auto& e : state.write_tx) {
auto const& send_tx = e.second.first; auto const& send_tx = e.second.first;
auto const& recv_tx = e.second.second; auto const& recv_tx = e.second.second;
REQUIRE(send_tx.size() == recv_tx.size()); REQUIRE(send_tx.size() == recv_tx.size());
for(auto i = 0; i<send_tx.size(); ++i) { for(auto i = 0; i < send_tx.size(); ++i) {
REQUIRE(send_tx[i]->get_response_status() == tlm::TLM_OK_RESPONSE); REQUIRE(send_tx[i]->get_response_status() == tlm::TLM_OK_RESPONSE);
CHECK(*send_tx[i] == *recv_tx[i]); CHECK(is_equal(*send_tx[i], *recv_tx[i]));
} }
} }
for(auto& e: state.read_tx) { for(auto& e : state.read_tx) {
auto const& send_tx = e.second.first; auto const& send_tx = e.second.first;
auto const& recv_tx = e.second.second; auto const& recv_tx = e.second.second;
REQUIRE(send_tx.size() == recv_tx.size()); REQUIRE(send_tx.size() == recv_tx.size());
for(auto i = 0; i<send_tx.size(); ++i){ for(auto i = 0; i < send_tx.size(); ++i) {
REQUIRE(send_tx[i]->get_response_status() == tlm::TLM_OK_RESPONSE); REQUIRE(send_tx[i]->get_response_status() == tlm::TLM_OK_RESPONSE);
CHECK(*send_tx[i] == *recv_tx[i]); CHECK(is_equal(*send_tx[i], *recv_tx[i]));
} }
} }
} }
@ -181,31 +182,30 @@ TEST_CASE("axi4_narrow_burst", "[AXI][pin-level]") {
unsigned int BurstSizeBytes{4}; unsigned int BurstSizeBytes{4};
unsigned int NumberOfIterations{8}; unsigned int NumberOfIterations{8};
std::unordered_map<unsigned, std::pair<std::vector<tlm::scc::tlm_gp_shared_ptr>, std::vector<tlm::scc::tlm_gp_shared_ptr>>> read_tx; std::unordered_map<unsigned, std::pair<std::vector<tlm::scc::tlm_gp_shared_ptr>, std::vector<tlm::scc::tlm_gp_shared_ptr>>> read_tx;
std::unordered_map<unsigned, std::pair<std::vector<tlm::scc::tlm_gp_shared_ptr>, std::vector<tlm::scc::tlm_gp_shared_ptr>>> write_tx; std::unordered_map<unsigned, std::pair<std::vector<tlm::scc::tlm_gp_shared_ptr>, std::vector<tlm::scc::tlm_gp_shared_ptr>>>
write_tx;
unsigned resp_cnt{0}; unsigned resp_cnt{0};
} state; } state;
auto cycles = run_scenario(state); auto cycles = run_scenario(state);
REQUIRE(cycles<1000); REQUIRE(cycles < 1000);
REQUIRE(sc_report_handler::get_count(SC_ERROR) == 0); REQUIRE(sc_report_handler::get_count(SC_ERROR) == 0);
REQUIRE(sc_report_handler::get_count(SC_WARNING) == 0); REQUIRE(sc_report_handler::get_count(SC_WARNING) == 0);
REQUIRE(state.resp_cnt==4*state.NumberOfIterations); REQUIRE(state.resp_cnt == 4 * state.NumberOfIterations);
for(auto& e: state.write_tx) { for(auto& e : state.write_tx) {
auto const& send_tx = e.second.first; auto const& send_tx = e.second.first;
auto const& recv_tx = e.second.second; auto const& recv_tx = e.second.second;
REQUIRE(send_tx.size() == recv_tx.size()); REQUIRE(send_tx.size() == recv_tx.size());
for(auto i = 0; i<send_tx.size(); ++i) for(auto i = 0; i < send_tx.size(); ++i)
CHECK(*send_tx[i] == *recv_tx[i]); CHECK(is_equal(*send_tx[i], *recv_tx[i]));
} }
for(auto& e: state.read_tx) { for(auto& e : state.read_tx) {
auto const& send_tx = e.second.first; auto const& send_tx = e.second.first;
auto const& recv_tx = e.second.second; auto const& recv_tx = e.second.second;
REQUIRE(send_tx.size() == recv_tx.size()); REQUIRE(send_tx.size() == recv_tx.size());
for(auto i = 0; i<send_tx.size(); ++i) for(auto i = 0; i < send_tx.size(); ++i)
CHECK(*send_tx[i] == *recv_tx[i]); CHECK(is_equal(*send_tx[i], *recv_tx[i]));
} }
} }

View File

@ -39,7 +39,8 @@ public:
public: public:
SC_HAS_PROCESS(testbench); SC_HAS_PROCESS(testbench);
testbench(): testbench("testbench") {} testbench()
: testbench("testbench") {}
testbench(sc_core::sc_module_name nm) testbench(sc_core::sc_module_name nm)
: sc_core::sc_module(nm) : sc_core::sc_module(nm)
, intor_pe("intor_pe", intor) , intor_pe("intor_pe", intor)
@ -70,9 +71,7 @@ public:
tgt_rec.isckt(tgt); tgt_rec.isckt(tgt);
} }
void run1() {}
void run1() {
}
}; };
#endif // _TESTBENCH_H_ #endif // _TESTBENCH_H_

View File

@ -32,10 +32,10 @@
#define EXAMPLES_EX09_HIERARCHICAL_OVERRIDE_OF_PARAMETER_VALUES_INITIATOR_H_ #define EXAMPLES_EX09_HIERARCHICAL_OVERRIDE_OF_PARAMETER_VALUES_INITIATOR_H_
#include <cci_configuration> #include <cci_configuration>
#include <tlm>
#include <string>
#include <tlm_utils/simple_initiator_socket.h>
#include <scc/report.h> #include <scc/report.h>
#include <string>
#include <tlm>
#include <tlm_utils/simple_initiator_socket.h>
/** /**
* @class initiator * @class initiator
@ -46,7 +46,7 @@ SC_MODULE(initiator) {
public: public:
int data; int data;
tlm_utils::simple_initiator_socket<initiator, 32> initiator_socket; ///< Instance of TLM2 simple initiator socket tlm_utils::simple_initiator_socket<initiator, 32> initiator_socket; ///< Instance of TLM2 simple initiator socket
/** /**
* @fn initiator * @fn initiator
@ -54,13 +54,13 @@ public:
* @return void * @return void
*/ */
SC_CTOR(initiator) SC_CTOR(initiator)
: : data(0)
data(0), initiator_socket("initiator_socket"), initiator_ID("initiator_ID", "initiator_default") { , initiator_socket("initiator_socket")
, initiator_ID("initiator_ID", "initiator_default") {
SCCINFO(SCMOD) << "[" << initiator_ID.get_value() << " C_TOR] ------- [INITIATOR CONSTRUCTOR BEGINS HERE] --------"; SCCINFO(SCMOD) << "[" << initiator_ID.get_value() << " C_TOR] ------- [INITIATOR CONSTRUCTOR BEGINS HERE] --------";
// initiator's SC_THREAD declaration // initiator's SC_THREAD declaration
SC_THREAD(run_initiator); SC_THREAD(run_initiator);
} }
/** /**
@ -69,18 +69,18 @@ public:
* @return void * @return void
*/ */
void run_initiator(void) { void run_initiator(void) {
tlm::tlm_generic_payload *trans = new tlm::tlm_generic_payload; tlm::tlm_generic_payload* trans = new tlm::tlm_generic_payload;
int i = 0; int i = 0;
static tlm::tlm_command cmds[8] = static tlm::tlm_command cmds[8] = {tlm::TLM_WRITE_COMMAND, tlm::TLM_READ_COMMAND, tlm::TLM_WRITE_COMMAND, tlm::TLM_READ_COMMAND,
{ tlm::TLM_WRITE_COMMAND, tlm::TLM_READ_COMMAND, tlm::TLM_WRITE_COMMAND, tlm::TLM_READ_COMMAND, tlm::TLM_READ_COMMAND, tlm::TLM_READ_COMMAND, tlm::TLM_READ_COMMAND, tlm::TLM_WRITE_COMMAND, tlm::TLM_WRITE_COMMAND};
tlm::TLM_READ_COMMAND, tlm::TLM_WRITE_COMMAND, tlm::TLM_WRITE_COMMAND }; while(1) {
while (1) {
tlm::tlm_command cmd = cmds[(i >> 2) % 8]; tlm::tlm_command cmd = cmds[(i >> 2) % 8];
//static_cast<tlm::tlm_command>(cmd_dist(rng)); // static_cast<tlm::tlm_command>(cmd_dist(rng));
if (cmd == tlm::TLM_WRITE_COMMAND) data = 0xFF000000 | i; if(cmd == tlm::TLM_WRITE_COMMAND)
data = 0xFF000000 | i;
trans->set_command(cmd); trans->set_command(cmd);
trans->set_address(i); trans->set_address(i);
@ -92,27 +92,28 @@ public:
trans->set_response_status(tlm::TLM_INCOMPLETE_RESPONSE); trans->set_response_status(tlm::TLM_INCOMPLETE_RESPONSE);
sc_core::sc_time delay = sc_core::sc_time(0, sc_core::SC_NS); sc_core::sc_time delay = sc_core::sc_time(0, sc_core::SC_NS);
if (cmd == tlm::TLM_WRITE_COMMAND) { if(cmd == tlm::TLM_WRITE_COMMAND) {
SCCINFO(SCMOD) << "[Initiators Message]=>At address " << std::hex << i << " sending transaction with command = Write" SCCINFO(SCMOD) << "[Initiators Message]=>At address " << std::hex << i << " sending transaction with command = Write"
<< ", data=" << std::hex << data << " at time " << sc_core::sc_time_stamp(); << ", data=" << std::hex << data << " at time " << sc_core::sc_time_stamp();
} else { } else {
SCCINFO(SCMOD) << "[Initiators Message]=>At address " << std::hex << i << " sending transaction with command= Read " SCCINFO(SCMOD) << "[Initiators Message]=>At address " << std::hex << i << " sending transaction with command= Read "
<< " at time " << sc_core::sc_time_stamp(); << " at time " << sc_core::sc_time_stamp();
} }
initiator_socket->b_transport(*trans, delay); initiator_socket->b_transport(*trans, delay);
if (trans->is_response_error()) if(trans->is_response_error())
SCCERR(SCMOD) << "TLM_2" << trans->get_response_string().c_str(); SCCERR(SCMOD) << "TLM_2" << trans->get_response_string().c_str();
if (delay.to_double() != 0) wait(delay); if(delay.to_double() != 0)
wait(delay);
if (cmd == tlm::TLM_WRITE_COMMAND) { if(cmd == tlm::TLM_WRITE_COMMAND) {
SCCINFO(SCMOD) << "[Initiators Message]=>At address " << std::hex << i << " received response of Write transaction " SCCINFO(SCMOD) << "[Initiators Message]=>At address " << std::hex << i << " received response of Write transaction "
<< " at time " << sc_core::sc_time_stamp(); << " at time " << sc_core::sc_time_stamp();
} else { } else {
SCCINFO(SCMOD) << "[Initiators Message]=>At address " << std::hex << i << " received response of Read transaction " SCCINFO(SCMOD) << "[Initiators Message]=>At address " << std::hex << i << " received response of Read transaction "
<< " data " << data << " at time " << sc_core::sc_time_stamp(); << " data " << data << " at time " << sc_core::sc_time_stamp();
} }
SCCINFO(SCMOD) << "--------------------------------------------------------"; SCCINFO(SCMOD) << "--------------------------------------------------------";
@ -124,17 +125,15 @@ public:
} }
private: private:
cci::cci_param<std::string, cci::CCI_MUTABLE_PARAM> initiator_ID; ///< Elab Time Param for assigning initiator ID (initialized by top_module) cci::cci_param<std::string, cci::CCI_MUTABLE_PARAM>
initiator_ID; ///< Elab Time Param for assigning initiator ID (initialized by top_module)
/** /**
* @fn void end_of_elaboration() * @fn void end_of_elaboration()
* @brief end of elaboration function to lock structural param * @brief end of elaboration function to lock structural param
* @return void * @return void
*/ */
void end_of_elaboration() { void end_of_elaboration() { initiator_ID.lock(); }
initiator_ID.lock();
}
}; };
// initiator // initiator
#endif // EXAMPLES_EX09_HIERARCHICAL_OVERRIDE_OF_PARAMETER_VALUES_INITIATOR_H_ #endif // EXAMPLES_EX09_HIERARCHICAL_OVERRIDE_OF_PARAMETER_VALUES_INITIATOR_H_

View File

@ -35,13 +35,13 @@
#endif #endif
#include <cci_configuration> #include <cci_configuration>
#include <iomanip>
#include <sstream>
#include <tlm> #include <tlm>
#include <vector> #include <vector>
#include <sstream>
#include <iomanip>
#include <tlm_utils/multi_passthrough_target_socket.h>
#include <tlm_utils/multi_passthrough_initiator_socket.h> #include <tlm_utils/multi_passthrough_initiator_socket.h>
#include <tlm_utils/multi_passthrough_target_socket.h>
/** /**
* @class router * @class router
@ -59,9 +59,13 @@ public:
* @return void * @return void
*/ */
SC_CTOR(router) SC_CTOR(router)
: : Router_target("Router_target")
Router_target("Router_target"), Router_initiator("Router_initiator"), r_initiators("r_initiators", 0), r_targets("r_targets", , Router_initiator("Router_initiator")
0), addr_limit("addr_max", 64), m_broker(cci::cci_get_broker()), addrSize(0) { , r_initiators("r_initiators", 0)
, r_targets("r_targets", 0)
, addr_limit("addr_max", 64)
, m_broker(cci::cci_get_broker())
, addrSize(0) {
SCCINFO(SCMOD) << "[ROUTER C_TOR] ----- [ROUTER CONSTRUCTOR BEGINS HERE] ------"; SCCINFO(SCMOD) << "[ROUTER C_TOR] ----- [ROUTER CONSTRUCTOR BEGINS HERE] ------";
// Register b_transport // Register b_transport
@ -78,8 +82,8 @@ public:
SCCINFO(SCMOD) << "[ROUTER in beoe] : Number of target(s) : " << r_targets.get_value(); SCCINFO(SCMOD) << "[ROUTER in beoe] : Number of target(s) : " << r_targets.get_value();
SCCINFO(SCMOD) << "[ROUTER in beoe] : Maximum Addressable Limit of the router : " << addr_limit.get_value(); SCCINFO(SCMOD) << "[ROUTER in beoe] : Maximum Addressable Limit of the router : " << addr_limit.get_value();
char targetName[10]; ///< Holds router table's fields' names char targetName[10]; ///< Holds router table's fields' names
addrSize = (unsigned int) (addr_limit.get_value() / r_targets); addrSize = (unsigned int)(addr_limit.get_value() / r_targets);
// Printing the Router Table contents // Printing the Router Table contents
SCCINFO(SCMOD) << "============= ROUTER TABLE INFORMATION =============="; SCCINFO(SCMOD) << "============= ROUTER TABLE INFORMATION ==============";
@ -89,7 +93,7 @@ public:
// Sets the contents of the routing table with (default) values // Sets the contents of the routing table with (default) values
// calculated within 'beoe' phase // calculated within 'beoe' phase
for (int i = 0; i < r_targets; i++) { for(int i = 0; i < r_targets; i++) {
snprintf(targetName, sizeof(targetName), "r_index_%d", i); snprintf(targetName, sizeof(targetName), "r_index_%d", i);
r_target_index.push_back(new cci::cci_param<unsigned int, cci::CCI_IMMUTABLE_PARAM>(targetName, i)); r_target_index.push_back(new cci::cci_param<unsigned int, cci::CCI_IMMUTABLE_PARAM>(targetName, i));
@ -100,37 +104,37 @@ public:
r_addr_end.push_back(new cci::cci_param<unsigned int, cci::CCI_IMMUTABLE_PARAM>(targetName, ((i + 1) * addrSize - 1))); r_addr_end.push_back(new cci::cci_param<unsigned int, cci::CCI_IMMUTABLE_PARAM>(targetName, ((i + 1) * addrSize - 1)));
} }
for (int i = 0; i < r_targets; i++) { for(int i = 0; i < r_targets; i++) {
snprintf(stringName, sizeof(stringName), "top_module_inst.target_%d.s_base_addr", i); snprintf(stringName, sizeof(stringName), "top_module_inst.target_%d.s_base_addr", i);
base_handle = m_broker.get_param_handle(stringName); base_handle = m_broker.get_param_handle(stringName);
if (!base_handle.is_valid()) { if(!base_handle.is_valid()) {
sc_assert(!"target Base Address Handle returned is NULL"); sc_assert(!"target Base Address Handle returned is NULL");
} }
std::stringstream row_ss; std::stringstream row_ss;
row_ss << "| " << std::setw(10) << r_target_index[i]->get_value() << " | " << std::setw(10) << std::hex << std::showbase row_ss << "| " << std::setw(10) << r_target_index[i]->get_value() << " | " << std::setw(10) << std::hex << std::showbase
<< r_addr_start[i]->get_value() << " | " << std::setw(10) << r_addr_end[i]->get_value() << " | " << std::setw(10) << r_addr_start[i]->get_value() << " | " << std::setw(10) << r_addr_end[i]->get_value() << " | " << std::setw(10)
<< base_handle.get_cci_value().to_json() << " |"; << base_handle.get_cci_value().to_json() << " |";
SCCINFO(SCMOD) << row_ss.str().c_str(); SCCINFO(SCMOD) << row_ss.str().c_str();
SCCINFO(SCMOD) << "-----------------------------------------------------"; SCCINFO(SCMOD) << "-----------------------------------------------------";
} }
} }
// Blocking transport implementation of the router // Blocking transport implementation of the router
void b_transport(int i_, tlm::tlm_generic_payload &trans, sc_core::sc_time &delay) { void b_transport(int i_, tlm::tlm_generic_payload& trans, sc_core::sc_time& delay) {
wait(delay); wait(delay);
delay = sc_core::SC_ZERO_TIME; delay = sc_core::SC_ZERO_TIME;
sc_dt::uint64 addr = trans.get_address(); sc_dt::uint64 addr = trans.get_address();
if (addr >= static_cast<sc_dt::uint64>(addr_limit.get_value())) { if(addr >= static_cast<sc_dt::uint64>(addr_limit.get_value())) {
trans.set_response_status(tlm::TLM_ADDRESS_ERROR_RESPONSE); trans.set_response_status(tlm::TLM_ADDRESS_ERROR_RESPONSE);
return; return;
} }
for (unsigned int i = 0; i < r_target_index.size(); i++) { for(unsigned int i = 0; i < r_target_index.size(); i++) {
if ((addr >= (r_addr_start[i]->get_value())) && (addr <= (r_addr_end[i]->get_value()))) { if((addr >= (r_addr_start[i]->get_value())) && (addr <= (r_addr_end[i]->get_value()))) {
SCCINFO(SCMOD) << "[Router in 'b_transport' layer]"; SCCINFO(SCMOD) << "[Router in 'b_transport' layer]";
SCCINFO(SCMOD) << "Address = " << std::hex << addr; SCCINFO(SCMOD) << "Address = " << std::hex << addr;
SCCINFO(SCMOD) << "Index = " << (r_target_index[i])->get_value(); SCCINFO(SCMOD) << "Index = " << (r_target_index[i])->get_value();
@ -145,15 +149,15 @@ public:
private: private:
/// Demonstrates Model-to-Model Configuration (UC12) /// Demonstrates Model-to-Model Configuration (UC12)
/// Elaboration Time Parameters for setting up the model hierarcy; /// Elaboration Time Parameters for setting up the model hierarcy;
cci::cci_param<int, cci::CCI_MUTABLE_PARAM> r_initiators; ///< initiator ID assigned by the top_module upon instantiation cci::cci_param<int, cci::CCI_MUTABLE_PARAM> r_initiators; ///< initiator ID assigned by the top_module upon instantiation
cci::cci_param<int, cci::CCI_MUTABLE_PARAM> r_targets; ///< target ID assigned by the top_module upon instantiation cci::cci_param<int, cci::CCI_MUTABLE_PARAM> r_targets; ///< target ID assigned by the top_module upon instantiation
cci::cci_param<unsigned int, cci::CCI_MUTABLE_PARAM> addr_limit; ///< Router Addressing Range cci::cci_param<unsigned int, cci::CCI_MUTABLE_PARAM> addr_limit; ///< Router Addressing Range
cci::cci_broker_handle m_broker; ///< CCI configuration broker handle cci::cci_broker_handle m_broker; ///< CCI configuration broker handle
/// Router Table contents holding targets related information /// Router Table contents holding targets related information
std::vector<cci::cci_param<unsigned int, cci::CCI_IMMUTABLE_PARAM>*> r_target_index; ///< Router table target index std::vector<cci::cci_param<unsigned int, cci::CCI_IMMUTABLE_PARAM>*> r_target_index; ///< Router table target index
std::vector<cci::cci_param<unsigned int, cci::CCI_IMMUTABLE_PARAM>*> r_addr_start; ///< Router table start address std::vector<cci::cci_param<unsigned int, cci::CCI_IMMUTABLE_PARAM>*> r_addr_start; ///< Router table start address
std::vector<cci::cci_param<unsigned int, cci::CCI_IMMUTABLE_PARAM>*> r_addr_end; ///< Router table end address std::vector<cci::cci_param<unsigned int, cci::CCI_IMMUTABLE_PARAM>*> r_addr_end; ///< Router table end address
cci::cci_param_handle base_handle; ///< CCI base parameter handle for target base address cci::cci_param_handle base_handle; ///< CCI base parameter handle for target base address
@ -170,6 +174,6 @@ private:
int addrSize; int addrSize;
char stringName[50]; char stringName[50];
}; };
// router // router
#endif // EXAMPLES_EX09_HIERARCHICAL_OVERRIDE_OF_PARAMETER_VALUES_ROUTER_H_ #endif // EXAMPLES_EX09_HIERARCHICAL_OVERRIDE_OF_PARAMETER_VALUES_ROUTER_H_

View File

@ -31,10 +31,10 @@
* @date 29th April, 2011 (Friday) * @date 29th April, 2011 (Friday)
*/ */
#include "top_module.h"
#include <cci_configuration> #include <cci_configuration>
#include <cci_utils/broker.h> #include <cci_utils/broker.h>
#include <string> #include <string>
#include "top_module.h"
/** /**
* @fn int sc_main(int argc, char* argv[]) * @fn int sc_main(int argc, char* argv[])
@ -43,7 +43,7 @@
* @param argv The list of input arguments * @param argv The list of input arguments
* @return An integer for the execution status * @return An integer for the execution status
*/ */
int sc_main(int sc_argc, char *sc_argv[]) { int sc_main(int sc_argc, char* sc_argv[]) {
scc::init_logging(scc::log::INFO); scc::init_logging(scc::log::INFO);
cci::cci_originator me = cci::cci_originator("sc_main"); cci::cci_originator me = cci::cci_originator("sc_main");
// Get handle to the default broker // Get handle to the default broker
@ -83,4 +83,4 @@ int sc_main(int sc_argc, char *sc_argv[]) {
SCCINFO("sc_main") << "End Simulation."; SCCINFO("sc_main") << "End Simulation.";
return EXIT_SUCCESS; return EXIT_SUCCESS;
} // End of 'sc_main' } // End of 'sc_main'

View File

@ -32,10 +32,10 @@
#define EXAMPLES_EX09_HIERARCHICAL_OVERRIDE_OF_PARAMETER_VALUES_TARGET_H_ #define EXAMPLES_EX09_HIERARCHICAL_OVERRIDE_OF_PARAMETER_VALUES_TARGET_H_
#include <cci_configuration> #include <cci_configuration>
#include <tlm>
#include <string>
#include <tlm_utils/simple_target_socket.h>
#include <scc/report.h> #include <scc/report.h>
#include <string>
#include <tlm>
#include <tlm_utils/simple_target_socket.h>
/** /**
* @class target * @class target
@ -47,8 +47,10 @@ public:
sc_core::sc_time read_latency, write_latency; sc_core::sc_time read_latency, write_latency;
SC_CTOR(target) SC_CTOR(target)
: : target_socket("target_socket")
target_socket("target_socket"), target_ID("target_ID", "target_default"), s_base_addr("s_base_addr", 0), s_size("s_size", 256) { , target_ID("target_ID", "target_default")
, s_base_addr("s_base_addr", 0)
, s_size("s_size", 256) {
SCCINFO(SCMOD) << "[" << target_ID.get_value() << " C_TOR] ------- [TARGET CONSTRUCTOR BEGINS HERE] --------"; SCCINFO(SCMOD) << "[" << target_ID.get_value() << " C_TOR] ------- [TARGET CONSTRUCTOR BEGINS HERE] --------";
SCCINFO(SCMOD) << "[" << target_ID.get_value() << " C_TOR] : Base Address : " << s_base_addr.get_value(); SCCINFO(SCMOD) << "[" << target_ID.get_value() << " C_TOR] : Base Address : " << s_base_addr.get_value();
@ -60,7 +62,7 @@ public:
mem = new int[s_size.get_value()]; mem = new int[s_size.get_value()];
for (unsigned int i = 0; i < s_size.get_value(); i++) for(unsigned int i = 0; i < s_size.get_value(); i++)
mem[i] = 0xAABBCCDD | i; mem[i] = 0xAABBCCDD | i;
// target's SC_THREAD declaration // target's SC_THREAD declaration
@ -72,8 +74,7 @@ public:
* @brief The run thread of the modeul (does nothing) * @brief The run thread of the modeul (does nothing)
* @return void * @return void
*/ */
void run_target(void) { void run_target(void) {}
}
/** /**
* @fn void b_transport(tlm::tlm_generic_payload& trans, sc_core::sc_time& delay) * @fn void b_transport(tlm::tlm_generic_payload& trans, sc_core::sc_time& delay)
@ -82,49 +83,49 @@ public:
* @param delay The annotated delay associated with the transaction * @param delay The annotated delay associated with the transaction
* @return void * @return void
*/ */
void b_transport(tlm::tlm_generic_payload &trans, sc_core::sc_time &delay) { void b_transport(tlm::tlm_generic_payload & trans, sc_core::sc_time & delay) {
tlm::tlm_command cmd = trans.get_command(); tlm::tlm_command cmd = trans.get_command();
sc_dt::uint64 adr = trans.get_address() - s_base_addr.get_value(); sc_dt::uint64 adr = trans.get_address() - s_base_addr.get_value();
unsigned char *ptr = trans.get_data_ptr(); unsigned char* ptr = trans.get_data_ptr();
unsigned int len = trans.get_data_length(); unsigned int len = trans.get_data_length();
unsigned char *byt = trans.get_byte_enable_ptr(); unsigned char* byt = trans.get_byte_enable_ptr();
unsigned int wid = trans.get_streaming_width(); unsigned int wid = trans.get_streaming_width();
SCCINFO(SCMOD) << "[TARGET] : adr ---- " << std::hex << adr; SCCINFO(SCMOD) << "[TARGET] : adr ---- " << std::hex << adr;
SCCINFO(SCMOD) << "[TARGET] : base addr ---- " << std::hex << s_base_addr.get_value(); SCCINFO(SCMOD) << "[TARGET] : base addr ---- " << std::hex << s_base_addr.get_value();
// Check for storage address overflow // Check for storage address overflow
if (adr > s_size.get_value()) { if(adr > s_size.get_value()) {
trans.set_response_status(tlm::TLM_ADDRESS_ERROR_RESPONSE); trans.set_response_status(tlm::TLM_ADDRESS_ERROR_RESPONSE);
return; return;
} }
// Target unable to support byte enable attribute // Target unable to support byte enable attribute
if (byt) { if(byt) {
trans.set_response_status(tlm::TLM_BYTE_ENABLE_ERROR_RESPONSE); trans.set_response_status(tlm::TLM_BYTE_ENABLE_ERROR_RESPONSE);
return; return;
} }
// Target unable to support streaming width attribute // Target unable to support streaming width attribute
if (wid < len) { if(wid < len) {
trans.set_response_status(tlm::TLM_BURST_ERROR_RESPONSE); trans.set_response_status(tlm::TLM_BURST_ERROR_RESPONSE);
return; return;
} }
if (cmd == tlm::TLM_READ_COMMAND) { if(cmd == tlm::TLM_READ_COMMAND) {
memcpy(ptr, &mem[adr], len); memcpy(ptr, &mem[adr], len);
delay = delay + read_latency; delay = delay + read_latency;
} else } else if(cmd == tlm::TLM_WRITE_COMMAND) {
if (cmd == tlm::TLM_WRITE_COMMAND) { memcpy(&mem[adr], ptr, len);
memcpy(&mem[adr], ptr, len); delay = delay + write_latency;
delay = delay + write_latency; }
}
trans.set_response_status(tlm::TLM_OK_RESPONSE); trans.set_response_status(tlm::TLM_OK_RESPONSE);
} }
private: private:
cci::cci_param<std::string, cci::CCI_MUTABLE_PARAM> target_ID; ///< Elaboration Time Param for assigning target ID (initialized by top_module) cci::cci_param<std::string, cci::CCI_MUTABLE_PARAM>
target_ID; ///< Elaboration Time Param for assigning target ID (initialized by top_module)
cci::cci_param<int, cci::CCI_MUTABLE_PARAM> s_base_addr; ///< Mutable time param for setting target's base addr (initialized by router) cci::cci_param<int, cci::CCI_MUTABLE_PARAM> s_base_addr; ///< Mutable time param for setting target's base addr (initialized by router)
@ -140,8 +141,8 @@ private:
s_base_addr.lock(); s_base_addr.lock();
} }
int *mem; int* mem;
}; };
// target // target
#endif // EXAMPLES_EX09_HIERARCHICAL_OVERRIDE_OF_PARAMETER_VALUES_TARGET_H_ #endif // EXAMPLES_EX09_HIERARCHICAL_OVERRIDE_OF_PARAMETER_VALUES_TARGET_H_

View File

@ -32,13 +32,13 @@
#define EXAMPLES_EX09_HIERARCHICAL_OVERRIDE_OF_PARAMETER_VALUES_TOP_MODULE_H_ #define EXAMPLES_EX09_HIERARCHICAL_OVERRIDE_OF_PARAMETER_VALUES_TOP_MODULE_H_
#include <cci_configuration> #include <cci_configuration>
#include <scc/report.h>
#include <sstream>
#include <tlm> #include <tlm>
#include <vector> #include <vector>
#include <sstream>
#include <scc/report.h>
#include "router.h"
#include "initiator.h" #include "initiator.h"
#include "router.h"
#include "target.h" #include "target.h"
/** /**
@ -52,8 +52,9 @@ public:
* @brief The class constructor * @brief The class constructor
*/ */
SC_CTOR(top_module) SC_CTOR(top_module)
: : n_initiators("number_of_initiators", 0)
n_initiators("number_of_initiators", 0), n_targets("number_of_targets", 0), m_broker(cci::cci_get_broker()) { , n_targets("number_of_targets", 0)
, m_broker(cci::cci_get_broker()) {
std::stringstream ss; std::stringstream ss;
SCCINFO(SCMOD) << "[TOP_MODULE C_TOR] -- [TOP MODULE CONSTRUCTOR BEGINS HERE]"; SCCINFO(SCMOD) << "[TOP_MODULE C_TOR] -- [TOP MODULE CONSTRUCTOR BEGINS HERE]";
@ -80,14 +81,14 @@ public:
// ---------------------------------------------------------------- // ----------------------------------------------------------------
cci::cci_param_handle r_addr_limit_handle = m_broker.get_param_handle("top_module_inst.RouterInstance.addr_limit"); cci::cci_param_handle r_addr_limit_handle = m_broker.get_param_handle("top_module_inst.RouterInstance.addr_limit");
if (r_addr_limit_handle.is_valid()) { if(r_addr_limit_handle.is_valid()) {
r_addr_max = atoi((r_addr_limit_handle.get_cci_value().to_json()).c_str()); r_addr_max = atoi((r_addr_limit_handle.get_cci_value().to_json()).c_str());
SCCINFO(SCMOD) << "[TOP_MODULE C_TOR] : Router's maximum addressable limit : " << r_addr_max; SCCINFO(SCMOD) << "[TOP_MODULE C_TOR] : Router's maximum addressable limit : " << r_addr_max;
} }
/// Creating instances of initiator(s) /// Creating instances of initiator(s)
for (int i = 0; i < n_initiators; i++) { for(int i = 0; i < n_initiators; i++) {
snprintf(initiatorName, sizeof(initiatorName), "initiator_%d", i); snprintf(initiatorName, sizeof(initiatorName), "initiator_%d", i);
SCCINFO(SCMOD) << "[TOP_MODULE C_TOR] : Creating initiator : " << initiatorName; SCCINFO(SCMOD) << "[TOP_MODULE C_TOR] : Creating initiator : " << initiatorName;
@ -107,7 +108,7 @@ public:
targetSize = 128; targetSize = 128;
// Creating instances of target(s) // Creating instances of target(s)
for (int i = 0; i < n_targets; i++) { for(int i = 0; i < n_targets; i++) {
snprintf(targetName, sizeof(targetName), "target_%d", i); snprintf(targetName, sizeof(targetName), "target_%d", i);
SCCINFO(SCMOD) << "[TOP_MODULE C_TOR] : Creating target : " << targetName; SCCINFO(SCMOD) << "[TOP_MODULE C_TOR] : Creating target : " << targetName;
@ -131,7 +132,7 @@ public:
} }
// Try re-setting locked values for Router Table contents // Try re-setting locked values for Router Table contents
for (int i = 0; i < n_targets; i++) { for(int i = 0; i < n_targets; i++) {
snprintf(targetName, sizeof(targetName), "%s.RouterInstance.r_index_%d", name(), i); snprintf(targetName, sizeof(targetName), "%s.RouterInstance.r_index_%d", name(), i);
ss.clear(); ss.clear();
ss.str(""); ss.str("");
@ -140,7 +141,7 @@ public:
try { try {
SCCINFO(SCMOD) << "[TOP_MODULE C_TOR] : Re-setting fields of target_" << i; SCCINFO(SCMOD) << "[TOP_MODULE C_TOR] : Re-setting fields of target_" << i;
m_broker.set_preset_cci_value(targetName, cci::cci_value::from_json(ss.str())); m_broker.set_preset_cci_value(targetName, cci::cci_value::from_json(ss.str()));
} catch (sc_core::sc_report const &exception) { } catch(sc_core::sc_report const& exception) {
SCCINFO(SCMOD) << "[ROUTER : Caught] : " << exception.what(); SCCINFO(SCMOD) << "[ROUTER : Caught] : " << exception.what();
} }
@ -157,7 +158,7 @@ public:
try { try {
SCCINFO(SCMOD) << "[TOP_MODULE C_TOR] : Re-setting start addr of target_" << i; SCCINFO(SCMOD) << "[TOP_MODULE C_TOR] : Re-setting start addr of target_" << i;
m_broker.set_preset_cci_value(targetName, cci::cci_value::from_json(ss.str())); m_broker.set_preset_cci_value(targetName, cci::cci_value::from_json(ss.str()));
} catch (sc_core::sc_report const &exception) { } catch(sc_core::sc_report const& exception) {
SCCINFO(SCMOD) << "[ROUTER : Caught] : " << exception.what(); SCCINFO(SCMOD) << "[ROUTER : Caught] : " << exception.what();
} }
@ -169,7 +170,7 @@ public:
try { try {
SCCINFO(SCMOD) << "[TOP_MODULE C_TOR] : Re-setting end addr of target_" << i; SCCINFO(SCMOD) << "[TOP_MODULE C_TOR] : Re-setting end addr of target_" << i;
m_broker.set_preset_cci_value(targetName, cci::cci_value::from_json(ss.str())); m_broker.set_preset_cci_value(targetName, cci::cci_value::from_json(ss.str()));
} catch (sc_core::sc_report const &exception) { } catch(sc_core::sc_report const& exception) {
SCCINFO(SCMOD) << "[ROUTER : Caught] : " << exception.what(); SCCINFO(SCMOD) << "[ROUTER : Caught] : " << exception.what();
} }
} }
@ -181,16 +182,16 @@ public:
* @return void * @return void
*/ */
~top_module() { ~top_module() {
if (!initiatorList.empty()) { if(!initiatorList.empty()) {
for (std::vector<initiator*>::iterator it = initiatorList.begin(); it != initiatorList.end(); ++it) { for(std::vector<initiator*>::iterator it = initiatorList.begin(); it != initiatorList.end(); ++it) {
delete (*it); delete(*it);
} }
initiatorList.clear(); initiatorList.clear();
} }
if (!targetList.empty()) { if(!targetList.empty()) {
for (std::vector<target*>::iterator it = targetList.begin(); it != targetList.end(); ++it) { for(std::vector<target*>::iterator it = targetList.begin(); it != targetList.end(); ++it) {
delete (*it); delete(*it);
} }
targetList.clear(); targetList.clear();
} }
@ -199,26 +200,25 @@ public:
private: private:
// Immutable type cci-parameters // Immutable type cci-parameters
cci::cci_param<int, cci::CCI_IMMUTABLE_PARAM> n_initiators; ///< Number of initiators to be instantiated cci::cci_param<int, cci::CCI_IMMUTABLE_PARAM> n_initiators; ///< Number of initiators to be instantiated
cci::cci_param<int, cci::CCI_IMMUTABLE_PARAM> n_targets; ///< Number of targets to be instantiated cci::cci_param<int, cci::CCI_IMMUTABLE_PARAM> n_targets; ///< Number of targets to be instantiated
cci::cci_broker_handle m_broker; ///< Configuration broker handle cci::cci_broker_handle m_broker; ///< Configuration broker handle
router *routerInstance; ///< Declaration of a router pointer router* routerInstance; ///< Declaration of a router pointer
// STD::VECTORs for creating instances of initiator and target // STD::VECTORs for creating instances of initiator and target
std::vector<initiator*> initiatorList; ///< STD::VECTOR for initiators std::vector<initiator*> initiatorList; ///< STD::VECTOR for initiators
std::vector<target*> targetList; ///< STD::VECTOR for targets std::vector<target*> targetList; ///< STD::VECTOR for targets
char initiatorName[50]; ///< initiator_ID char initiatorName[50]; ///< initiator_ID
char targetName[50]; ///< target_ID char targetName[50]; ///< target_ID
char stringMisc[50]; ///< String to be used for misc things char stringMisc[50]; ///< String to be used for misc things
char targetBaseAddr[50]; ///< The base address of the target char targetBaseAddr[50]; ///< The base address of the target
int addrValue int addrValue{0}; ///< Address Value
{ 0 }; ///< Address Value int targetSize; ///< Maximum target Size (preset value)
int targetSize; ///< Maximum target Size (preset value) int r_addr_max; ///< Maximum Router Table's memory range
int r_addr_max; ///< Maximum Router Table's memory range
}; };
// top_module // top_module
#endif // EXAMPLES_EX09_HIERARCHICAL_OVERRIDE_OF_PARAMETER_VALUES_TOP_MODULE_H_ #endif // EXAMPLES_EX09_HIERARCHICAL_OVERRIDE_OF_PARAMETER_VALUES_TOP_MODULE_H_

View File

@ -1,17 +1,17 @@
#define CATCH_CONFIG_MAIN #define CATCH_CONFIG_MAIN
#include <catch2/catch_all.hpp> #include <catch2/catch_all.hpp>
#include <util/io-redirector.h>
#include <iostream>
#include <cstdio> #include <cstdio>
#include <iostream>
#include <util/io-redirector.h>
TEST_CASE( "io-redirector", "[io-redirector]" ) { TEST_CASE("io-redirector", "[io-redirector]") {
util::IoRedirector::get().start(); util::IoRedirector::get().start();
auto result1 = util::IoRedirector::get().get_output(); auto result1 = util::IoRedirector::get().get_output();
printf("Some output"); printf("Some output");
std::cout<<"Some other output"<<std::endl; std::cout << "Some other output" << std::endl;
auto result2 = util::IoRedirector::get().get_output(); auto result2 = util::IoRedirector::get().get_output();
util::IoRedirector::get().stop(); util::IoRedirector::get().stop();
REQUIRE( result1=="" ); REQUIRE(result1 == "");
REQUIRE( result2=="Some outputSome other output\n" ); REQUIRE(result2 == "Some outputSome other output\n");
} }

View File

@ -1,15 +1,19 @@
#ifndef SC_INCLUDE_DYNAMIC_PROCESSES
#define SC_INCLUDE_DYNAMIC_PROCESSES #define SC_INCLUDE_DYNAMIC_PROCESSES
#endif
#include <catch2/catch_all.hpp>
#include <factory.h>
#include <scc/ordered_semaphore.h> #include <scc/ordered_semaphore.h>
#include <scc/utilities.h> #include <scc/utilities.h>
#include <factory.h>
#include <catch2/catch_all.hpp>
#include <systemc> #include <systemc>
using namespace sc_core; using namespace sc_core;
struct top: public sc_core::sc_module { struct top : public sc_core::sc_module {
top():top("top"){} top()
top(sc_module_name const& nm):sc_core::sc_module(nm) {} : top("top") {}
top(sc_module_name const& nm)
: sc_core::sc_module(nm) {}
scc::ordered_semaphore sem{"sem", 2}; scc::ordered_semaphore sem{"sem", 2};
scc::ordered_semaphore_t<2> sem_t{"sem_t"}; scc::ordered_semaphore_t<2> sem_t{"sem_t"};
}; };
@ -19,7 +23,7 @@ factory::add<top> tb;
TEST_CASE("simple ordered_semaphore test", "[SCC][ordered_semaphore]") { TEST_CASE("simple ordered_semaphore test", "[SCC][ordered_semaphore]") {
auto& dut = factory::get<top>(); auto& dut = factory::get<top>();
auto run1 = sc_spawn([&dut](){ auto run1 = sc_spawn([&dut]() {
dut.sem.wait(); dut.sem.wait();
dut.sem_t.wait(); dut.sem_t.wait();
dut.sem.set_capacity(4); dut.sem.set_capacity(4);

View File

@ -14,20 +14,18 @@ struct packet {
std::vector<uint8_t> routing; std::vector<uint8_t> routing;
}; };
struct packet_ext: public tlm::tlm_extension<packet_ext>, public packet { struct packet_ext : public tlm::tlm_extension<packet_ext>, public packet {
packet_ext() = default; packet_ext() = default;
packet_ext& operator=(packet_ext const& o) = default; packet_ext& operator=(packet_ext const& o) = default;
tlm_extension_base* clone() const override { tlm_extension_base* clone() const override { return new packet_ext(*this); }
return new packet_ext(*this);
}
void copy_from(tlm_extension_base const & o) override { void copy_from(tlm_extension_base const& o) override {
auto* ext = dynamic_cast<packet_ext const*>(&o); auto* ext = dynamic_cast<packet_ext const*>(&o);
if(ext) if(ext)
this->routing=ext->routing; this->routing = ext->routing;
} }
}; };

View File

@ -12,88 +12,85 @@
using namespace sc_core; using namespace sc_core;
pkt_sender::pkt_sender(const sc_core::sc_module_name &nm, unsigned dim, unsigned pos_x, unsigned pos_y, unsigned count) pkt_sender::pkt_sender(const sc_core::sc_module_name& nm, unsigned dim, unsigned pos_x, unsigned pos_y, unsigned count)
: sc_module(nm) : sc_module(nm)
, bw_peq("bw_peq") , bw_peq("bw_peq")
, fw_peq("fw_peq") , fw_peq("fw_peq")
, my_pos{pos_x,pos_y} , my_pos{pos_x, pos_y}
, dim{dim} , dim{dim}
, count{count} , count{count} {
{ SCCDEBUG(SCMOD) << "instantiating sender " << pos_x << "/" << pos_y;
SCCDEBUG(SCMOD)<<"instantiating sender "<<pos_x<<"/"<<pos_y;
SC_HAS_PROCESS(pkt_sender); SC_HAS_PROCESS(pkt_sender);
isck.register_nb_transport_bw([this](tlm::tlm_generic_payload &gp, tlm::tlm_phase &phase, sc_core::sc_time &delay)->tlm::tlm_sync_enum{ isck.register_nb_transport_bw([this](tlm::tlm_generic_payload& gp, tlm::tlm_phase& phase,
return this->nb_bw(gp, phase, delay); sc_core::sc_time& delay) -> tlm::tlm_sync_enum { return this->nb_bw(gp, phase, delay); });
}); tsck.register_nb_transport_fw([this](tlm::tlm_generic_payload& gp, tlm::tlm_phase& phase,
tsck.register_nb_transport_fw([this](tlm::tlm_generic_payload &gp, tlm::tlm_phase &phase, sc_core::sc_time &delay)->tlm::tlm_sync_enum{ sc_core::sc_time& delay) -> tlm::tlm_sync_enum { return this->nb_fw(gp, phase, delay); });
return this->nb_fw(gp, phase, delay);
});
SC_METHOD(received); SC_METHOD(received);
sensitive<<fw_peq.get_event(); sensitive << fw_peq.get_event();
dont_initialize(); dont_initialize();
SC_THREAD(run); SC_THREAD(run);
} }
void pkt_sender::gen_routing(std::vector<uint8_t> &route_vec) { void pkt_sender::gen_routing(std::vector<uint8_t>& route_vec) {
if(std::get<0>(my_pos)==0){ if(std::get<0>(my_pos) == 0) {
for(auto i=0; i<dim; ++i) for(auto i = 0; i < dim; ++i)
route_vec.push_back(RIGHT); route_vec.push_back(RIGHT);
} else if(std::get<0>(my_pos)==dim+1){ } else if(std::get<0>(my_pos) == dim + 1) {
for(auto i=0; i<dim; ++i) for(auto i = 0; i < dim; ++i)
route_vec.push_back(LEFT); route_vec.push_back(LEFT);
} else if(std::get<1>(my_pos)==0){ } else if(std::get<1>(my_pos) == 0) {
for(auto i=0; i<dim; ++i) for(auto i = 0; i < dim; ++i)
route_vec.push_back(BOTTOM); route_vec.push_back(BOTTOM);
} else if(std::get<1>(my_pos)==dim+1){ } else if(std::get<1>(my_pos) == dim + 1) {
for(auto i=0; i<dim; ++i) for(auto i = 0; i < dim; ++i)
route_vec.push_back(TOP); route_vec.push_back(TOP);
} else } else
SCCERR(SCMOD)<<"WTF!?!"; SCCERR(SCMOD) << "WTF!?!";
} }
void pkt_sender::run() { void pkt_sender::run() {
wait(clk_i.posedge_event()); wait(clk_i.posedge_event());
for(auto i=0U; i<count; i++){ for(auto i = 0U; i < count; i++) {
tlm::tlm_generic_payload* gp = tlm::scc::tlm_mm<>::get().allocate<packet_ext>(); tlm::tlm_generic_payload* gp = tlm::scc::tlm_mm<>::get().allocate<packet_ext>();
gen_routing(gp->get_extension<packet_ext>()->routing); gen_routing(gp->get_extension<packet_ext>()->routing);
tlm::tlm_phase phase{tlm::BEGIN_REQ}; tlm::tlm_phase phase{tlm::BEGIN_REQ};
sc_time delay; sc_time delay;
gp->acquire(); gp->acquire();
auto sync = isck->nb_transport_fw(*gp, phase, delay); auto sync = isck->nb_transport_fw(*gp, phase, delay);
sc_assert(sync==tlm::TLM_UPDATED && phase==tlm::END_REQ); sc_assert(sync == tlm::TLM_UPDATED && phase == tlm::END_REQ);
tlm::tlm_generic_payload* ret{nullptr}; tlm::tlm_generic_payload* ret{nullptr};
while(!(ret=bw_peq.get_next_transaction())){ while(!(ret = bw_peq.get_next_transaction())) {
wait(bw_peq.get_event()); wait(bw_peq.get_event());
} }
sc_assert(gp==ret); sc_assert(gp == ret);
ret->release(); ret->release();
} }
finish_evt.notify(SC_ZERO_TIME); finish_evt.notify(SC_ZERO_TIME);
} }
tlm::tlm_sync_enum pkt_sender::nb_bw(tlm::tlm_generic_payload &gp, tlm::tlm_phase &phase, sc_core::sc_time &delay) { tlm::tlm_sync_enum pkt_sender::nb_bw(tlm::tlm_generic_payload& gp, tlm::tlm_phase& phase, sc_core::sc_time& delay) {
sc_assert(phase==tlm::BEGIN_RESP); sc_assert(phase == tlm::BEGIN_RESP);
bw_peq.notify(gp, delay); bw_peq.notify(gp, delay);
phase=tlm::END_RESP; phase = tlm::END_RESP;
return tlm::TLM_COMPLETED; return tlm::TLM_COMPLETED;
} }
tlm::tlm_sync_enum pkt_sender::nb_fw(tlm::tlm_generic_payload &gp, tlm::tlm_phase &phase, sc_core::sc_time &delay) { tlm::tlm_sync_enum pkt_sender::nb_fw(tlm::tlm_generic_payload& gp, tlm::tlm_phase& phase, sc_core::sc_time& delay) {
sc_assert(phase==tlm::BEGIN_REQ); sc_assert(phase == tlm::BEGIN_REQ);
auto ext = gp.get_extension<packet_ext>(); auto ext = gp.get_extension<packet_ext>();
sc_assert(ext->routing.size()==0); sc_assert(ext->routing.size() == 0);
gp.acquire(); gp.acquire();
fw_peq.notify(gp, delay); fw_peq.notify(gp, delay);
phase=tlm::END_REQ; phase = tlm::END_REQ;
return tlm::TLM_UPDATED; return tlm::TLM_UPDATED;
} }
void pkt_sender::received() { void pkt_sender::received() {
if(auto gp = fw_peq.get_next_transaction()){ if(auto gp = fw_peq.get_next_transaction()) {
tlm::tlm_phase phase{tlm::BEGIN_RESP}; tlm::tlm_phase phase{tlm::BEGIN_RESP};
sc_time delay; sc_time delay;
auto sync = tsck->nb_transport_bw(*gp, phase, delay); auto sync = tsck->nb_transport_bw(*gp, phase, delay);
sc_assert(sync==tlm::TLM_COMPLETED && phase==tlm::END_RESP); sc_assert(sync == tlm::TLM_COMPLETED && phase == tlm::END_RESP);
gp->release(); gp->release();
} }
} }

View File

@ -8,12 +8,11 @@
#ifndef _SIM_PERFORMANCE_PKT_SENDER_H_ #ifndef _SIM_PERFORMANCE_PKT_SENDER_H_
#define _SIM_PERFORMANCE_PKT_SENDER_H_ #define _SIM_PERFORMANCE_PKT_SENDER_H_
#include <systemc>
#include "packet.h" #include "packet.h"
#include <systemc>
#include <tlm/scc/initiator_mixin.h> #include <tlm/scc/initiator_mixin.h>
#include <tlm/scc/target_mixin.h> #include <tlm/scc/target_mixin.h>
class pkt_sender : sc_core::sc_module { class pkt_sender : sc_core::sc_module {
public: public:
sc_core::sc_in<bool> clk_i{"clk_i"}; sc_core::sc_in<bool> clk_i{"clk_i"};
@ -21,7 +20,8 @@ public:
tlm::scc::target_mixin<tlm::tlm_target_socket<32>> tsck; tlm::scc::target_mixin<tlm::tlm_target_socket<32>> tsck;
pkt_sender(sc_core::sc_module_name const&, unsigned dim, unsigned pos_x, unsigned pos_y, unsigned count); pkt_sender(sc_core::sc_module_name const&, unsigned dim, unsigned pos_x, unsigned pos_y, unsigned count);
virtual ~pkt_sender() = default; virtual ~pkt_sender() = default;
sc_core::sc_event const& get_finish_event(){return finish_evt;} sc_core::sc_event const& get_finish_event() { return finish_evt; }
private: private:
void run(); void run();
void gen_routing(std::vector<uint8_t>& route_vec); void gen_routing(std::vector<uint8_t>& route_vec);

View File

@ -14,63 +14,67 @@
using namespace sc_core; using namespace sc_core;
pkt_switch::pkt_switch(const sc_core::sc_module_name &nm):sc_module(nm) { pkt_switch::pkt_switch(const sc_core::sc_module_name& nm)
: sc_module(nm) {
SC_HAS_PROCESS(pkt_switch); SC_HAS_PROCESS(pkt_switch);
auto index = 0U; auto index = 0U;
for(auto& s:isck){ for(auto& s : isck) {
s.register_nb_transport_bw([this](unsigned id, tlm::tlm_generic_payload &gp, tlm::tlm_phase &phase, sc_core::sc_time &delay)->tlm::tlm_sync_enum{ s.register_nb_transport_bw([this](unsigned id, tlm::tlm_generic_payload& gp, tlm::tlm_phase& phase,
return this->nb_bw(id, gp, phase, delay); sc_core::sc_time& delay) -> tlm::tlm_sync_enum { return this->nb_bw(id, gp, phase, delay); },
}, index++); index++);
} }
index = 0U; index = 0U;
for(auto& s:tsck){ for(auto& s : tsck) {
s.register_nb_transport_fw([this](unsigned id, tlm::tlm_generic_payload &gp, tlm::tlm_phase &phase, sc_core::sc_time &delay)->tlm::tlm_sync_enum{ s.register_nb_transport_fw([this](unsigned id, tlm::tlm_generic_payload& gp, tlm::tlm_phase& phase,
return this->nb_fw(id, gp, phase, delay); sc_core::sc_time& delay) -> tlm::tlm_sync_enum { return this->nb_fw(id, gp, phase, delay); },
}, index++); index++);
} }
SC_METHOD(clock_cb); SC_METHOD(clock_cb);
sensitive<<clk_i.pos(); sensitive << clk_i.pos();
dont_initialize(); dont_initialize();
for(auto i=0U; i<SIDES; ++i){ for(auto i = 0U; i < SIDES; ++i) {
sc_core::sc_spawn_options opts; sc_core::sc_spawn_options opts;
opts.spawn_method(); opts.spawn_method();
opts.set_sensitivity(&out_fifo[i].data_written_event()); opts.set_sensitivity(&out_fifo[i].data_written_event());
sc_core::sc_spawn([this, i]()->void {this->output_cb(i);}, sc_core::sc_gen_unique_name("out_peq"), &opts); sc_core::sc_spawn([this, i]() -> void { this->output_cb(i); }, sc_core::sc_gen_unique_name("out_peq"), &opts);
} }
} }
tlm::tlm_sync_enum pkt_switch::nb_fw(unsigned id, tlm::tlm_generic_payload &gp, tlm::tlm_phase &phase, sc_core::sc_time &delay) { tlm::tlm_sync_enum pkt_switch::nb_fw(unsigned id, tlm::tlm_generic_payload& gp, tlm::tlm_phase& phase, sc_core::sc_time& delay) {
in_tx[id].write(&gp); in_tx[id].write(&gp);
if(phase==tlm::BEGIN_REQ) phase=tlm::END_REQ; if(phase == tlm::BEGIN_REQ)
else SCCERR(SCMOD)<<"WTF!?!"; phase = tlm::END_REQ;
else
SCCERR(SCMOD) << "WTF!?!";
return tlm::TLM_UPDATED; return tlm::TLM_UPDATED;
} }
void pkt_switch::clock_cb() { void pkt_switch::clock_cb() {
std::array<std::vector<unsigned>, SIDES> routing{}; std::array<std::vector<unsigned>, SIDES> routing{};
bool nothing_todo=true; bool nothing_todo = true;
for(auto i=0U; i<SIDES; ++i){ for(auto i = 0U; i < SIDES; ++i) {
if(auto gp = in_tx[i].read()){ if(auto gp = in_tx[i].read()) {
auto ext = gp->get_extension<packet_ext>(); auto ext = gp->get_extension<packet_ext>();
sc_assert(ext); sc_assert(ext);
routing[ext->routing.back()].push_back(i); routing[ext->routing.back()].push_back(i);
nothing_todo = false; nothing_todo = false;
} }
} }
if(nothing_todo) return; if(nothing_todo)
for(auto i=0U; i<SIDES; ++i){ return;
if(routing[i].size()){ for(auto i = 0U; i < SIDES; ++i) {
auto selected_input=routing[i].front(); if(routing[i].size()) {
auto selected_input = routing[i].front();
auto* gp = in_tx[selected_input].read(); auto* gp = in_tx[selected_input].read();
if(out_fifo[i].nb_write(gp)){ if(out_fifo[i].nb_write(gp)) {
auto ext = gp->get_extension<packet_ext>(); auto ext = gp->get_extension<packet_ext>();
ext->routing.pop_back(); ext->routing.pop_back();
gp->acquire(); gp->acquire();
tlm::tlm_phase phase{tlm::BEGIN_RESP}; tlm::tlm_phase phase{tlm::BEGIN_RESP};
sc_core::sc_time delay; sc_core::sc_time delay;
auto res = tsck[selected_input]->nb_transport_bw(*gp, phase, delay); auto res = tsck[selected_input]->nb_transport_bw(*gp, phase, delay);
if(res!=tlm::TLM_COMPLETED && !(res==tlm::TLM_UPDATED && phase==tlm::END_RESP)) if(res != tlm::TLM_COMPLETED && !(res == tlm::TLM_UPDATED && phase == tlm::END_RESP))
SCCERR(SCMOD)<<"WTF!?!"; SCCERR(SCMOD) << "WTF!?!";
in_tx[selected_input].clear(); in_tx[selected_input].clear();
} }
} }
@ -79,18 +83,18 @@ void pkt_switch::clock_cb() {
void pkt_switch::output_cb(unsigned id) { void pkt_switch::output_cb(unsigned id) {
if(out_fifo[id].num_available()){ if(out_fifo[id].num_available()) {
auto* gp = out_fifo[id].read(); auto* gp = out_fifo[id].read();
tlm::tlm_phase phase{tlm::BEGIN_REQ}; tlm::tlm_phase phase{tlm::BEGIN_REQ};
sc_time delay; sc_time delay;
auto sync = isck[id]->nb_transport_fw(*gp, phase, delay); auto sync = isck[id]->nb_transport_fw(*gp, phase, delay);
sc_assert(sync==tlm::TLM_UPDATED && phase==tlm::END_REQ); sc_assert(sync == tlm::TLM_UPDATED && phase == tlm::END_REQ);
} }
} }
tlm::tlm_sync_enum pkt_switch::nb_bw(unsigned id, tlm::tlm_generic_payload &gp, tlm::tlm_phase &phase, sc_core::sc_time &delay) { tlm::tlm_sync_enum pkt_switch::nb_bw(unsigned id, tlm::tlm_generic_payload& gp, tlm::tlm_phase& phase, sc_core::sc_time& delay) {
gp.release(); gp.release();
sc_assert(phase==tlm::BEGIN_RESP); sc_assert(phase == tlm::BEGIN_RESP);
phase=tlm::END_RESP; phase = tlm::END_RESP;
return tlm::TLM_COMPLETED; return tlm::TLM_COMPLETED;
} }

View File

@ -8,22 +8,22 @@
#ifndef _SIM_PERFORMANCE_PKT_SWITCH_H_ #ifndef _SIM_PERFORMANCE_PKT_SWITCH_H_
#define _SIM_PERFORMANCE_PKT_SWITCH_H_ #define _SIM_PERFORMANCE_PKT_SWITCH_H_
#include <systemc>
#include "packet.h" #include "packet.h"
#include <array>
#include <scc/sc_owning_signal.h>
#include <systemc>
#include <tlm/scc/tagged_initiator_mixin.h> #include <tlm/scc/tagged_initiator_mixin.h>
#include <tlm/scc/tagged_target_mixin.h> #include <tlm/scc/tagged_target_mixin.h>
#include <scc/sc_owning_signal.h>
#include <array>
class pkt_switch : sc_core::sc_module { class pkt_switch : sc_core::sc_module {
public: public:
enum {NONE=std::numeric_limits<unsigned>::max()}; enum { NONE = std::numeric_limits<unsigned>::max() };
sc_core::sc_in<bool> clk_i{"clk_i"}; sc_core::sc_in<bool> clk_i{"clk_i"};
sc_core::sc_vector<tlm::scc::tagged_target_mixin<tlm::tlm_target_socket<32>>> tsck{"tsck",4}; sc_core::sc_vector<tlm::scc::tagged_target_mixin<tlm::tlm_target_socket<32>>> tsck{"tsck", 4};
sc_core::sc_vector<tlm::scc::tagged_initiator_mixin<tlm::tlm_initiator_socket<32>>> isck{"isck",4}; sc_core::sc_vector<tlm::scc::tagged_initiator_mixin<tlm::tlm_initiator_socket<32>>> isck{"isck", 4};
pkt_switch(sc_core::sc_module_name const&); pkt_switch(sc_core::sc_module_name const&);
virtual ~pkt_switch() = default; virtual ~pkt_switch() = default;
private: private:
void clock_cb(); void clock_cb();
void output_cb(unsigned); void output_cb(unsigned);

View File

@ -21,10 +21,10 @@
*/ */
#include "top.h" #include "top.h"
#include <boost/program_options.hpp>
#include <scc/perf_estimator.h> #include <scc/perf_estimator.h>
#include <scc/report.h> #include <scc/report.h>
#include <scc/tracer.h> #include <scc/tracer.h>
#include <boost/program_options.hpp>
using namespace scc; using namespace scc;
namespace po = boost::program_options; namespace po = boost::program_options;
@ -35,8 +35,8 @@ const size_t SUCCESS = 0;
const size_t ERROR_UNHANDLED_EXCEPTION = 2; const size_t ERROR_UNHANDLED_EXCEPTION = 2;
} // namespace } // namespace
int sc_main(int argc, char *argv[]) { int sc_main(int argc, char* argv[]) {
sc_core::sc_report_handler::set_actions( "/IEEE_Std_1666/deprecated", sc_core::SC_DO_NOTHING ); sc_core::sc_report_handler::set_actions("/IEEE_Std_1666/deprecated", sc_core::SC_DO_NOTHING);
sc_core::sc_report_handler::set_actions(sc_core::SC_ID_MORE_THAN_ONE_SIGNAL_DRIVER_, sc_core::SC_DO_NOTHING); sc_core::sc_report_handler::set_actions(sc_core::SC_ID_MORE_THAN_ONE_SIGNAL_DRIVER_, sc_core::SC_DO_NOTHING);
/////////////////////////////////////////////////////////////////////////// ///////////////////////////////////////////////////////////////////////////
// CLI argument parsing // CLI argument parsing
@ -54,13 +54,13 @@ int sc_main(int argc, char *argv[]) {
try { try {
po::store(po::parse_command_line(argc, argv, desc), vm); // can throw po::store(po::parse_command_line(argc, argv, desc), vm); // can throw
// --help option // --help option
if (vm.count("help")) { if(vm.count("help")) {
std::cout << "JIT-ISS simulator for AVR" << std::endl << desc << std::endl; std::cout << "JIT-ISS simulator for AVR" << std::endl << desc << std::endl;
return SUCCESS; return SUCCESS;
} }
po::notify(vm); // throws on error, so do after help in case po::notify(vm); // throws on error, so do after help in case
// there are any problems // there are any problems
} catch (po::error &e) { } catch(po::error& e) {
std::cerr << "ERROR: " << e.what() << std::endl << std::endl; std::cerr << "ERROR: " << e.what() << std::endl << std::endl;
std::cerr << desc << std::endl; std::cerr << desc << std::endl;
return ERROR_IN_COMMAND_LINE; return ERROR_IN_COMMAND_LINE;
@ -68,20 +68,20 @@ int sc_main(int argc, char *argv[]) {
/////////////////////////////////////////////////////////////////////////// ///////////////////////////////////////////////////////////////////////////
// configure logging // configure logging
/////////////////////////////////////////////////////////////////////////// ///////////////////////////////////////////////////////////////////////////
scc::init_logging(vm.count("debug")?scc::log::DEBUG:scc::log::INFO); scc::init_logging(vm.count("debug") ? scc::log::DEBUG : scc::log::INFO);
/////////////////////////////////////////////////////////////////////////// ///////////////////////////////////////////////////////////////////////////
// set up tracing & transaction recording // set up tracing & transaction recording
/////////////////////////////////////////////////////////////////////////// ///////////////////////////////////////////////////////////////////////////
//tracer trace("simple_system", tracer::TEXT, vm.count("trace")); // tracer trace("simple_system", tracer::TEXT, vm.count("trace"));
// todo: fix displayed clock period in VCD // todo: fix displayed clock period in VCD
try { try {
/////////////////////////////////////////////////////////////////////////// ///////////////////////////////////////////////////////////////////////////
// instantiate top level // instantiate top level
/////////////////////////////////////////////////////////////////////////// ///////////////////////////////////////////////////////////////////////////
perf_estimator estimator; perf_estimator estimator;
auto const count=vm["count"].as<unsigned>(); auto const count = vm["count"].as<unsigned>();
auto const dim = vm["dim"].as<unsigned>(); auto const dim = vm["dim"].as<unsigned>();
SCCINFO()<<"Instantiating "<<(unsigned)dim<<"x"<<(unsigned)dim<<" matrix and executing "<<count<<" accesses"; SCCINFO() << "Instantiating " << (unsigned)dim << "x" << (unsigned)dim << " matrix and executing " << count << " accesses";
top i_top("i_top", dim, count); top i_top("i_top", dim, count);
/////////////////////////////////////////////////////////////////////////// ///////////////////////////////////////////////////////////////////////////
// run simulation // run simulation

View File

@ -6,34 +6,35 @@
*/ */
#include "top.h" #include "top.h"
#include <scc/utilities.h>
#include <scc/report.h>
#include <fmt/format.h> #include <fmt/format.h>
#include <scc/report.h>
#include <scc/utilities.h>
using namespace sc_core; using namespace sc_core;
using namespace fmt; using namespace fmt;
top::top(sc_core::sc_module_name const& nm, uint8_t dimension,unsigned count) :sc_module(nm){ top::top(sc_core::sc_module_name const& nm, uint8_t dimension, unsigned count)
sc_assert(dimension>0); : sc_module(nm) {
sc_assert(dimension > 0);
SC_HAS_PROCESS(top); SC_HAS_PROCESS(top);
for(auto yidx=0U; yidx<dimension; ++yidx){ for(auto yidx = 0U; yidx < dimension; ++yidx) {
for(auto xidx=0U; xidx<dimension; ++xidx){ for(auto xidx = 0U; xidx < dimension; ++xidx) {
auto name = format("sw_{}_{}", xidx, yidx); auto name = format("sw_{}_{}", xidx, yidx);
SCCDEBUG(SCMOD)<<"instantiating switch "<<xidx<<"/"<<yidx; SCCDEBUG(SCMOD) << "instantiating switch " << xidx << "/" << yidx;
switches.push_back(scc::make_unique<pkt_switch>(sc_module_name(name.c_str()))); switches.push_back(scc::make_unique<pkt_switch>(sc_module_name(name.c_str())));
switches.back()->clk_i(clk); switches.back()->clk_i(clk);
} }
} }
for(auto yidx=0U; yidx<dimension; ++yidx){ for(auto yidx = 0U; yidx < dimension; ++yidx) {
for(auto xidx=0U; xidx<dimension; ++xidx){ for(auto xidx = 0U; xidx < dimension; ++xidx) {
auto& sw = switches[yidx*dimension+xidx]; auto& sw = switches[yidx * dimension + xidx];
if(xidx<dimension-1) { if(xidx < dimension - 1) {
auto& swr = switches[yidx*dimension+(xidx+1)]; auto& swr = switches[yidx * dimension + (xidx + 1)];
sw->isck[RIGHT](swr->tsck[LEFT]); sw->isck[RIGHT](swr->tsck[LEFT]);
swr->isck[LEFT](sw->tsck[RIGHT]); swr->isck[LEFT](sw->tsck[RIGHT]);
} }
if(yidx<dimension-1){ if(yidx < dimension - 1) {
auto& swb = switches[(yidx+1)*dimension+xidx]; auto& swb = switches[(yidx + 1) * dimension + xidx];
sw->isck[BOTTOM](swb->tsck[TOP]); sw->isck[BOTTOM](swb->tsck[TOP]);
swb->isck[TOP](sw->tsck[BOTTOM]); swb->isck[TOP](sw->tsck[BOTTOM]);
} }
@ -41,42 +42,42 @@ top::top(sc_core::sc_module_name const& nm, uint8_t dimension,unsigned count) :s
} }
auto yidx = 0U; auto yidx = 0U;
auto xidx = 0U; auto xidx = 0U;
for(xidx=0U; xidx<dimension; ++xidx){ for(xidx = 0U; xidx < dimension; ++xidx) {
auto name = format("snd_{}_{}", xidx+1, 0); auto name = format("snd_{}_{}", xidx + 1, 0);
senders[TOP].push_back(scc::make_unique<pkt_sender>(sc_module_name(name.c_str()), dimension, xidx+1, 0, count)); senders[TOP].push_back(scc::make_unique<pkt_sender>(sc_module_name(name.c_str()), dimension, xidx + 1, 0, count));
auto& snd = senders[TOP].back(); auto& snd = senders[TOP].back();
snd->clk_i(clk); snd->clk_i(clk);
auto& sw = switches[yidx*dimension+xidx]; auto& sw = switches[yidx * dimension + xidx];
snd->isck(sw->tsck[TOP]); snd->isck(sw->tsck[TOP]);
sw->isck[TOP](snd->tsck); sw->isck[TOP](snd->tsck);
} }
yidx=dimension-1; yidx = dimension - 1;
for(xidx=0U; xidx<dimension; ++xidx){ for(xidx = 0U; xidx < dimension; ++xidx) {
auto name = format("snd_{}_{}", xidx+1, dimension+1); auto name = format("snd_{}_{}", xidx + 1, dimension + 1);
senders[BOTTOM].push_back(scc::make_unique<pkt_sender>(sc_module_name(name.c_str()), dimension, xidx+1, dimension+1, count)); senders[BOTTOM].push_back(scc::make_unique<pkt_sender>(sc_module_name(name.c_str()), dimension, xidx + 1, dimension + 1, count));
auto& snd = senders[BOTTOM].back(); auto& snd = senders[BOTTOM].back();
snd->clk_i(clk); snd->clk_i(clk);
auto& sw = switches[yidx*dimension+xidx]; auto& sw = switches[yidx * dimension + xidx];
snd->isck(sw->tsck[BOTTOM]); snd->isck(sw->tsck[BOTTOM]);
sw->isck[BOTTOM](snd->tsck); sw->isck[BOTTOM](snd->tsck);
} }
xidx=0U; xidx = 0U;
for(yidx=0U; yidx<dimension; ++yidx){ for(yidx = 0U; yidx < dimension; ++yidx) {
auto name = format("snd_{}_{}", 0, yidx+1); auto name = format("snd_{}_{}", 0, yidx + 1);
senders[LEFT].push_back(scc::make_unique<pkt_sender>(sc_module_name(name.c_str()), dimension, 0, yidx+1, count)); senders[LEFT].push_back(scc::make_unique<pkt_sender>(sc_module_name(name.c_str()), dimension, 0, yidx + 1, count));
auto& snd = senders[LEFT].back(); auto& snd = senders[LEFT].back();
snd->clk_i(clk); snd->clk_i(clk);
auto& sw = switches[yidx*dimension+xidx]; auto& sw = switches[yidx * dimension + xidx];
snd->isck(sw->tsck[LEFT]); snd->isck(sw->tsck[LEFT]);
sw->isck[LEFT](snd->tsck); sw->isck[LEFT](snd->tsck);
} }
xidx=dimension-1; xidx = dimension - 1;
for(yidx=0U; yidx<dimension; ++yidx){ for(yidx = 0U; yidx < dimension; ++yidx) {
auto name = format("snd_{}_{}", dimension+1, yidx+1); auto name = format("snd_{}_{}", dimension + 1, yidx + 1);
senders[RIGHT].push_back(scc::make_unique<pkt_sender>(sc_module_name(name.c_str()), dimension, dimension+1, yidx+1, count)); senders[RIGHT].push_back(scc::make_unique<pkt_sender>(sc_module_name(name.c_str()), dimension, dimension + 1, yidx + 1, count));
auto& snd = senders[RIGHT].back(); auto& snd = senders[RIGHT].back();
snd->clk_i(clk); snd->clk_i(clk);
auto& sw = switches[yidx*dimension+xidx]; auto& sw = switches[yidx * dimension + xidx];
snd->isck(sw->tsck[RIGHT]); snd->isck(sw->tsck[RIGHT]);
sw->isck[RIGHT](snd->tsck); sw->isck[RIGHT](snd->tsck);
} }
@ -85,12 +86,11 @@ top::top(sc_core::sc_module_name const& nm, uint8_t dimension,unsigned count) :s
void top::run() { void top::run() {
sc_event_and_list evt_list; sc_event_and_list evt_list;
for(auto& sides:senders) { for(auto& sides : senders) {
for(auto& sender:sides){ for(auto& sender : sides) {
evt_list&=sender->get_finish_event(); evt_list &= sender->get_finish_event();
} }
} }
wait(evt_list); wait(evt_list);
sc_stop(); sc_stop();
} }

View File

@ -8,17 +8,18 @@
#ifndef _SIM_PERFORMANCE_TOP_H_ #ifndef _SIM_PERFORMANCE_TOP_H_
#define _SIM_PERFORMANCE_TOP_H_ #define _SIM_PERFORMANCE_TOP_H_
#include <systemc>
#include <memory>
#include <vector>
#include "pkt_sender.h" #include "pkt_sender.h"
#include "pkt_switch.h" #include "pkt_switch.h"
#include "types.h" #include "types.h"
#include <memory>
#include <systemc>
#include <vector>
class top: public sc_core::sc_module { class top : public sc_core::sc_module {
public: public:
top(sc_core::sc_module_name const&, uint8_t, unsigned); top(sc_core::sc_module_name const&, uint8_t, unsigned);
virtual ~top() = default; virtual ~top() = default;
private: private:
void run(); void run();
sc_core::sc_clock clk; sc_core::sc_clock clk;

View File

@ -8,6 +8,6 @@
#ifndef TESTS_SIM_PERFORMANCE_TYPES_H_ #ifndef TESTS_SIM_PERFORMANCE_TYPES_H_
#define TESTS_SIM_PERFORMANCE_TYPES_H_ #define TESTS_SIM_PERFORMANCE_TYPES_H_
enum {TOP=0, RIGHT=1, BOTTOM=2, LEFT=3, SIDES=4}; enum { TOP = 0, RIGHT = 1, BOTTOM = 2, LEFT = 3, SIDES = 4 };
#endif /* TESTS_SIM_PERFORMANCE_TYPES_H_ */ #endif /* TESTS_SIM_PERFORMANCE_TYPES_H_ */