diff --git a/scc b/scc index 7cf3d94..1e7db7c 160000 --- a/scc +++ b/scc @@ -1 +1 @@ -Subproject commit 7cf3d94c133b000e9dd5d29b5b7da670a15f0859 +Subproject commit 1e7db7caf1117ec434b2d272a8556c6dded99b21 diff --git a/src/tgc_vp/system.cpp b/src/tgc_vp/system.cpp index 94a59a4..1dedcbc 100644 --- a/src/tgc_vp/system.cpp +++ b/src/tgc_vp/system.cpp @@ -14,16 +14,7 @@ using namespace sysc::tgfs; system::system(sc_core::sc_module_name nm) : sc_core::sc_module(nm) , NAMED(router, platfrom_mmap.size() + 2, 2) -, NAMEDC(qspi0_ptr, spi, spi_impl::beh) -, NAMEDC(qspi1_ptr, spi, spi_impl::beh) -, NAMEDC(qspi2_ptr, spi, spi_impl::beh) -, qspi0(*qspi0_ptr) -, qspi1(*qspi1_ptr) -, qspi2(*qspi2_ptr) { - auto& qspi0 = *qspi0_ptr; - auto& qspi1 = *qspi1_ptr; - auto& qspi2 = *qspi2_ptr; core_complex.ibus(router.target[0]); core_complex.dbus(router.target[1]); size_t i = 0; diff --git a/src/tgc_vp/system.h b/src/tgc_vp/system.h index ff41cbd..be94f29 100644 --- a/src/tgc_vp/system.h +++ b/src/tgc_vp/system.h @@ -44,7 +44,7 @@ private: scc::router<> router; vpvper::sifive::uart_terminal uart0{"uart0"}; vpvper::sifive::uart uart1{"uart1"}; - std::unique_ptr qspi0_ptr, qspi1_ptr, qspi2_ptr; + vpvper::sifive::spi qspi0{"qspi0"}, qspi1{"qspi1"}, qspi2{"qspi2"}; vpvper::sifive::pwm pwm0{"pwm0"}, pwm1{"pwm1"}, pwm2{"pwm2"}; vpvper::sifive::gpio gpio0{"gpio0"}; vpvper::sifive::plic plic{"plic"}; @@ -65,11 +65,7 @@ private: sc_core::sc_vector> global_int_s{"global_int_s", 256}, local_int_s{"local_int_s", 16}; sc_core::sc_signal core_int_s{"core_int_s"}; -protected: void gen_reset(); - vpvper::sifive::spi& qspi0; - vpvper::sifive::spi& qspi1; - vpvper::sifive::spi& qspi2; #include "tgc_vp/gen/platform_mmap.h" }; diff --git a/tgc-iss/dbt-rise-tgc b/tgc-iss/dbt-rise-tgc index bc4ea30..f4f90c5 160000 --- a/tgc-iss/dbt-rise-tgc +++ b/tgc-iss/dbt-rise-tgc @@ -1 +1 @@ -Subproject commit bc4ea30815e77651ded4c51d97d7452c7ad005bb +Subproject commit f4f90c5e65573d3bc979f447e3f78f794499d36e diff --git a/vpvper b/vpvper index 2df18a1..0b2dba5 160000 --- a/vpvper +++ b/vpvper @@ -1 +1 @@ -Subproject commit 2df18a17549559131f52f9bcc90d3cef44aa4f5d +Subproject commit 0b2dba5820ac06821306121f1d80b6b077fda4da