From d0eff8c08dbe4c40b636dcf3e930db4e1941d489 Mon Sep 17 00:00:00 2001 From: Stas Kaushanski Date: Mon, 12 Apr 2021 13:12:04 +0200 Subject: [PATCH] longer simulation --- raven_spn/raven_spn | Bin 121568 -> 121576 bytes raven_spn/src/raven_spn.cpp | 6 +++++- 2 files changed, 5 insertions(+), 1 deletion(-) diff --git a/raven_spn/raven_spn b/raven_spn/raven_spn index 0c1bf5ebd5174af3e0d7feb5613017560cda68a9..f9b8a4d73d84df7c932b3d79cd970458caf94faf 100755 GIT binary patch delta 38624 zcmc(I2b@&Z+5YWQ?rdLnW_M?IXFHt*mLjaMzoxJI^!udgC!fBh{t#~q6a;`mcw>JHp3!9(XzuY%u z`EG5IFZn=YKYPh;?Y_q3myH+2w%2aBc-4qsrY>xJYJbV{?Z50a=WGNwM_%{ zJ8pZiNw<%c;;%Lz=3dZL-*o$av(ehWGTGeuyhA?fzTx8fH9oDpsq4iTHpllzpA7V5 z>?y%}@Xv8(kx_v}Sy_o6a`?n8Xe~>rKKEvw2sgqhb zeeZN-bQvlPcuib@6gGtllJ9p7dt;qh_MNubr^hftRBi2NnMYFfu|50m-h9C!Lze$- z!i*`ePN_|v&=}s-)a@%nzcU%`d4z5!PwrWxk4#?K^M1WKIkZl{G8b z(W^&~i^r$&hlf{P(e%V&+ni?t%Pxoyxpe0B%ZyaBrX?>vWSlDTRPy0oj~h>ayXowf z&vkuA^29zh_`AH%QTid9-s#iJ)Gtov_3x`6mK@gqHzgOJG4r}T+J(1Ys@a=UVOBFI zd7%H(qn6L#t*xjidQqj_Ij`!4huI#$=!jYC%J}TTv*3+DlK&e)5 z-EZvHTEYi!PyONX_mUUyIB!#M;8pCxuMRq^sCmT9(mmSJ_|aZF&B^*fXHYh1Xwi=T zO19mT?b!6$pl(JlU(w8)zR||EUzmDYyKYC_@)_~Jwc95Q`>pC!bJ5IeztKYR7c&)( zIJj2dvFXHvzi{-~$;t6Ubtidq{Aj%?`Ca^Sq+B?1gx<7i$H@0hW5)-{4Tr|{&YN~0 zT4U&qn+nEu(>Jw^TWaVH$;ZYwJ6(T&;6SOCTDwj!yJmu4uSf^78JBeCg-T_dq&h%HM_oK&y>g4lThc)b#lG2|IOsqN!89@k?`f4 z$)1y{?Lte_b|v4LT(7^B)Th*=gN;*~^{0~K5MQ|I)G4RCq4pu|vFZ55@LRcZLUQ`_ zkiLJ@$2|)U2ZEZe{@OK2^(SAv@Wq5~ zY5@!Kux40{hY&A9E(T46%dsH16TyUYZvZMGe6{6nzBIM2dpJDBx#+lK{g` z6)KHIlkZ$qulGsn7dPmml1=z~OmYnVE=n%CxFL8EOT3K|Q&6Il&#|jfW>xYLWZ0N| z4;h|eh8K|GFlIQROf9Y}d{Ybv*kE_XH!xb_rNuTGx# ztMI0+sja#`f75xt(sljDO^F)=78b>&t5*c|wq(Wns>EpA2sl}_HvIIZBXQDNT4%&9 z-N9)ay3Z3==-Qy}xNDkzTC(QuY5H@?bMGFYpOt*z z?q2x&;oT$jxyjD=9I2n1Ox#oH$d&1uTysxZ;(zTz{Lin0wNBF#Tm_$F4xDE(T&u9) zQN(TQR;KK(M9SWLiT{z(eW5d$J{419?JJddSBXa@{yXM5AbEVVk?yn3Wo5zqI!vGc zeMmKbZ=~z~5%_Cp=2N;B)a*iYA0&Z)B)8sMo+v~v{lVV6OvcKw!IwDk4<5=2jFqF^ z^C*2dq@)YnGQvkZIL(a_KI*}_?mWUB9-Qh94*A7hJ`s7#>#2IE0g!! z*RQ8kHoRITjt)wfM+6j%2W3DbA_}&<*CdPX@2uaF9CUv}Q6t;vSFc2Kwc?`WDff3u z*leS;JNss?ydn|&rQqQTUy^VqvsBm{)>!uv!iWb)7cM?|k*3#rFz#-lv?-)+fg2L; znXIgvhgT%rH#oK)lHFXnF5xaQlIF&%60&+hRb<;4RL~xK1ofPqhf%A2#e59)7~LWR zIT8QC9y6W!ezeCJeqYXVHt%zg;nRvsRK7&+9`iVwG9xA3A+lv0-loHS%iM@^=A=$s zh0bjD*K!MWjLG*hcgeiGO%Q0l_X!F@cOq{2zCkvtP=Mbzf}lu1NHgaW6`PMIkkLGb zptSf-#O*v(X%;b|lS-&&!l|sbOeOR}f`2Cx>=X4chhYXlJe{8T;}{=|qRwI!KLMGX zq^zNU<=kN=Q8M@h6YmVQGak2-`42Q4+k<6p_i=S-zRL;L+owWqX{J*Kw86X$DBm)- zLFOwfpU#Gk#(elgc`Rh+p-x|Y<@?CxR3jeowfebueA|;(J`kx4u-E{XS^d65F@fCh zA)l4p_CT-1P!wtKwfX^Rs8O z1D8SK_svW0`)$`=*Fx_1o$Y^?C8w~}bBY+pN@~ELb5%(!Hm-kXUGm5WTk>yYwylAo zND29lP4(9GlF@5X2192p+vP$=d}lJ-&fsh4DMriMo~ybZ&Bc6+>j7S<+6K_z>&F4T zocAF>XW#wF-4FK7Kb$4sEV3YJ_f1WfQ|cCE-5PSygWl*k_`Q>dCK6nUNH78-s|Yhh$P+d0MXFT$o=8u^N{(^Q=;k#~ts zH_Dbn)*4CF5uTxh10x?3ooNKGhp;X32%9+DDB;3wkMtutN9Bx1l3Xn3D%t2roaN3l zN>`!W*vMq&T&i+Th&;hTTwnw^zA2HDnDchSHwHPUmRC$6TxXPUZH(5-m$Kw~qj(tL zSiSrpHgdNSx*M6_Hp&yMX`@lt8$;e@l+Pl3zz9AJDQ=WPi>sOEZL;LyRAMb_ZqO@U zMpYFhs3_#?to!GqXhhaySG|Zpi_B-6-E^69D{>Qyb=PIe{iu^|6cs?FWC_tIg`CKvJ}uehk*36_Oug8MT!JiGzvTI4z;F`#vII-8Na<@my zh^|xUuE=vl*DLfuZn#QXL{HxK=)im~ zdf$zP`#74dIzIW?qgA?_^lceE{%!W7uNmgO6MKW8)vW3dP=%+ak6}88Nimz%8 zYFgbORIZ=a!Zc|xIat}_ZBUjKX@|ARKy>z2jx?Qby5iea{%pqNhON(c-#UnVR zyjsS&JpP>g^VSx{uL4+&e5~7pi#rIpp1~RXzxmwu-|#EbYy?SoQ^-p0^PH9QJZGur zIiXpWqFFXcj+skx?4J3eGNee3Qzj3qLUMdLB*&?*oP%6>LUNo||62eqH&~~C z3FBIR$4QzqK<%zBd5`1qo&uM|$MJ}dYbAGV^N5er=06SlpiAQ8c*Msg@o_xjoJXxFkM~M|@loAIBp;E{Tuh5g(UFh2s$)m&C`(5T8Ote4O$A&Fln;k24|2 zxaN}hI1>W|ON&T+oXNpsIZC}~ZSubDY2xF|@CT7o&BM%M#xszw1cxMV!e%X#wwI=lBLzkQ-_f{e#` zvxxK5?oQR`vIZSTkq`6U; z+{ghp`WC5HnA`}cl*k_@Hv+0A3WdpyoHREIlN&kUM&DvgrD1X-C(Vt*qWha=?vtVDXLBBjiR7xKUqJ`?e9` zS?_=wZAKltj0m}r18y`FQgEXJs}SkJjVhj2+{ghpIs!G93paAWjW`!!xpl#f2(&P{ zk(1^|VR9n}+(;%Fb+WAjYXkn4*I)(ZJK#oKN?~#%2i%B23zHi;;6}2_!sJFR&nRx> zq`6U;+{ghp;zU?saw7-a=opOAA0{_)z>RieIvc{|MoyX=g~^SaG&c&98#&-cdyzUd zOm5_W8*!n{4U-!=;6_A?!orOla3jJcVR9n}+-N?+rD1X-2i)jvpi9E!MoyX=g~^Q^ za3hwxJxp%ofEy9r6(%=wz>SC=2$LH*;6_AS!sJE{xDnA)VQ`}V%lLt0o* zZrGu?kppft63s@BPkyz7+$ewN=mfcu18&6oqvj0)a3kJWG3u85g#~BeS{GQH!5DQ* z{=NAUr*6rwbW1Vnmi$V$6zeK+(JjTOTkphZ{&sI0@z}WPSd0&>MDbG}} zs3tCPePi|2UXjKz$?4CQmx>bU*Cn?i)iy7|rO>(zN?!SF(^%2FTwSDEnAPwoOJ7~B z;M4}u(yZ{L3%ZILCh5Vs4Wfp*!GqHpZcQF|wsMAa`G%OPVY*g7AKlB2Wwj@Oxcf)A zVEVGWT_tJi*!clWmOdbFb#Z%aIEAZT1N~_d_0)iJQg(2SrYn=@KG#pbCb{vs&id`i zKRxGZoO(5?40E-fe@PZT-zo7Y4y8UwWo!@?c1EbLYWabwZW+5rh60rXQniJBcsL&m znWZ0xHm!}dip4-I|9u}p<2~ze!gmSxMvCcaqNy?Rb&JF$$^SkAV+YueZ$Kn*6w^Ev z^#@ElsC>R8DRuR(Y9x(|oW~@kx;Dr>edEhlNRm=r*P?^IIg#6#q}15P8qADuUipKP zB+QF$=X-@QV@ z0q*S*4s^FjILIxPaIo85!ZtT9;lb`S35U8LN2E?-8UsX z#9b=oMkm}GByy;GpM;0GJ0%?J#v~l)4wUe4cY=iD-MJD@bia~{Cb@p%;0*T~T#8_D zrn_3g6Wx0yobB$AaE|+qgmc}$OE}LBU~dTq6Z72~iJav2lW>81q=YBCzmV`$ccp}< zxerRX*!{hPXSm-=_zO3T%M}bRaeGL3mODbi^WE_hCfpMwywF`D;WGCs375O;876`k zyN^o3CGM*dUhaM;;gzmg#fqqepJE^AQ*rb29>08hyn(l?lKSiMs@)qNlKPtEByb>kt3Q3Dd6BPez)4FC;;Zd{% z1>CCxc|{N6{&3$3; zl|SCS6(GD5)15yt?>uDGB9!D$E^OhAjDw~azx*>%Yq^Lk@{lS2h|rfv;nCmS6Jz73 zsKbiPKQi<&E_*wYN2N<@d8c9{%%7UKyg<`f%khxRqVzoWwo+Q0?khyY`dLU$WC|+L z4Bs~7)2~JHEoo8th1sGf`r_qiUw;6?$1@pT!pOY3=lPDqMxnnB*?SrJrK}+O7Vf_M zU-+&>a5WqG2jnJ7sv%1wD$m)zKceT7hm5^BlSk^QOl;v0FYvv=%i0FvA?XbH+}pHD zKj&?kZziS{N(@b#la`mWPOT~*3od^}VOM11;?av{%?CFId#> zZbgN`qRZWnxdRnl>Ao!ZD)%D^uXg__;R?4FeGe9`blW7n#yw8Lq`O4IYu&_k61mRZ zDB<<)P6<=)>k{7J{vhG6+!|czV9|~4UXG^%sy+Fd%Zc4&6?!ywUb$>76t?t(n z-tHD-6v3i9-M$iTaF3Sou7rEGMDB5~m+(G!qlEXnuS&Sl{X)V`uD_NQJmA(#_*-{~ zgb%t$OSsv+Qo@Ja+a!G0eNw_l+z%vt)HQL#1dFz~JllgskGY3Rn0VZsFOlu;atWVs z?~w3G_h|{AazB#rY1gi2!Drk`33s@ICEV#AE8(;5ITAkS-X!7k?j{LeaG#g(MfYO~ zUvl*Z*7LF(Wtb>>#T|f1U6EfeJ4zw}z3eoJ1o7I5L?U`VJ6Ts$spoSZ>x!!Nd`@6p zQLUcOiK{DW)bmyGCOuyjZ`Si!+~9Fs@THv4#vp9N1-qkM-ofI2?!yfUM*6!4kSAC? zz^!OPSOPnngiGDuNO+N3)y(uO+`bZC=^iTKRc?obSG#9OxYE5v!fV|}B)r~zMZ#aX zf06J;*8w>TmfYkvNqDn6Dj|{E+&L27;hrwxuifPm-s%2Y!gcO560UbYmhd<3UJ37V zqg`0xS7q->N53h%3O7!$a_T8n+(qrl&huphttJzde}0sK)1vNgSy_1TnFa0|1R_$sg+ z)T8m9_^JGO;|2N8=NV|7*xd!n+lc+jI^VYfNz6A1zp401e$BWF_mMTbOHn50FMZ_7 z%yBM$zhurZgj(Z?3ukH8MZQBJWj#0Iw}w*o)01B2I}MGYY(jeoqOBB5VdEF<(7b+| zF!H?J9QjqgqcD-o@n`%#WlpKcSj3$32RxL?d5!N&OgwXhKnRNQQ+4Jo;)S~2w-Qp; z(-o2yN>LBizY^c*tH8a%!lNNNJX^RA3$OMK!al~a&W2<`R+{3R-RdjETvNIXlFPEv zFFAl;``*VzW6ifhvMwt<1vMwE4Zh1T0*bdm^h`!<9LY;Jrymzn-k)(JTle~ozyZJ< zpX2vcCTCt0$<{_+6|Op^ejZEw$&BVL$9lGY>uW7J1$j3#XG*38zbZfNFn zj`d;RAz&}eG6BD1nN?O8tJaJdv(IYfa#PtK^UXyA%$C6KGG=GH<&wSegvFY*-S;+@ z0xMjL-(Ad+sW72QmgjxXV)j|dllZ;FEZIu(j>EvLKll>p0BiXezrQkztOF(elkW-i zofTLZXAnPc^rxU+>pkCZu`jS}9VDG8Rb@{}Q2c@KE-Wz?Zii@8rm*o(Y>UTOY zG!g&YcQ*RM9P{y8#GF!*(S*KPGX}`rAvwSFJ%^i^Ij+U;M&^{u@ss;K`NLaoVl}2G zW}=5$(+gNg1&;0}KyLhNuzU*q`mg~1JdY}BmqFaA-VGN0!&SSzuDfctH*}Y~eXy*1 zK37bztVcezWo|1vriTZFjzsm%qjCMigDOX3muX&!l^Y(+ctUGlf|kNV%Sq~+&%^%Ga^wV%4&TD#1e_Y1A$4f84N z9xQ)1x*<=O@<(&OK=%@Qcvff+`*#MK3(t|JRhQE9a6;tOc`#G7Ss_bEymi>48HvliIfav{8{uZ z5-Sm=?zH9jVat*F_`*tM&;0Y4l`pJRE>>~caw?Nyw%@~F zd7ExwLQlYC^t38}ZH_`D(TkRy$~!|O)4k%1ud8GZElm4Pw;IgbXuAM^n5LoVFae=3 zO+(S)0t&-44Mj%?C=Jsz6pagrgfC;ZkpiM&nuemI1jMv{$}$uk@Bb4!LCa7yF`=43 z3)3_domfk-v_DNl(aAxMLDT!MWyT`~S-RH3C(^$ln~bbR>sNsWSLo3h{+?L0YARbcGm6e~)W~Qviq5WWg~T6bSE6&OuRjqLU4l7dg)O8`{CPFET89A)aOIVn=-K|$ zfx@&bMb9Z>TnoS70C29VAC<(B=B`7VbEA*=w_q#n+p$QCK3aTw@{jLTC2nNNt%0ME zDHJ}Ioq1e9e&3E6v$g29qA{owGs}tCF4sR2rU5FtGsq!hGTGYmUDo10iiK%_ioR6$ z3P3}crh(|ogZ2P)4&UDh@Jg3_+|J$mcAPOoi@wIH6Iu%p`ty1*J3kQMG%fmuG}IQR zy(;=Ez2@A*Vw%c6YHSJ7pLeFUh=;8&s-8G#bS+W59e6c!QQlUf@sB2idGnB8iFMI@m` zFEv_T#+dwVG>JrE65$Qf&_=yT6ebZiI=XEO*9=S|+;%3k(IgUuN#r7wo7zT`NE9X! z4rOi|O(Id4M2Hr(HFC_bh!8DlqeUdT(x`k7<(9V5B9e$+WAxk&cu5;gB2kz`*x;Hr znna>7iLl`9ZDm9-i4fh@Mw3VsCJ~|s+GrAq!X!eprHv+$C`=+mPqkgZuKmjJv(6W^ z!CyshF#>})Ei__8*BV_D2hg_GrW4+3bSc2aTcRDzd5ywcvLBOt@bLt<87`+&Yoom| z3VWeki#FN|qp%k)MJ-kv?S(+4ME*9~3xTSMLT$7cMqw{xxxzNu3!|qPoofJqLuobe_Hoi+0g}sonfo-%GM$a@FM?%)tMtflt_Cjuv?QOIdMqw{x z&UhQ`g;CfGDI48Jdtnsz!Z9c}wvG0}DC~uD(oATh!7vJgAy>teHX010Fc|K^;HM7Z zJ`#n!kXy%SeaKRlguReE!&rR??S;|1jml}L_HAPb?S)a;3x{FIyNn^U7e*g23gBS zWJY1gWLs7nm03|3GUe){F-}6O#fpcDAu|d?=Hsk{hRo^o!aZwAd(ptC;)a2jkA>L|nEe+$fPEYj=NuG$mEga|)M5HA{8&1n&6qZG9RKsam zjKZ?G3&6My6IDIDkOhoH8zj|-7V-MoG!~3_6}MUSm(F&?%lQY*(wGq61U6CqxY$@| zJW#TD16XeLZb_vn!AmUx6RiG9QfXN5QrCfkRd172S{WoYp~Zusiq)@6GK~&i<`+?0 z^@ox=A)Q*z82ZD0#w%Iu4Y_A%o5=3aUIiD$Apg6cL!ix~ToyF{Xz@RR*;l{kpN?yh zpdCY$(&dEej|@A0C>z)=70}3$t-xzwIEY~N$I?R@KP0uBTu)t`__1K3)t`&J2=k%j zj9~;DI07H;;Y9Uc9hRZF#H-h3yKhQ48c$T}$ct)``j$$aiA7cYb%3T6>6I3rfWBAn z6e*QIk~&I@-@!@zR+4FF@iI^4@V}GP^@(({l8u;-6;}O)LnDl8c*ILs8P!iXG{>kR zaF^2J^PzpHeo`u-g(fSK(aSt)BcH$ss~?dmjE9h``cXM3X~L1~rNs~Zj?3*`sfW4% zRa!29sT*NXYMaTe7A-yCGPpZnvb-5f*B*;>(E zHJ{3KP$4eGRG-F7X4$ANGU~{cj5UnX#tf#gS3*gtInR~GA7#fsmmX1@t~xXFVl-Iu z7fFrtA))42xh3{6hukf4M-XD>WwO5KWKEM?)V`|;$jO>u-uP8mAT`sapZ%DNe|QBs zzB!c5ks79FYLHlNW2`cMGl%q7=^j=3xzqS1E^W;hBBGXGMB12N(|%J^rRIQIf7L&! z*qf%CaYNL!s2sEhs0*Nk@eR1eYQB_OX(K4-nov_UW)k~SqskRyGPAQ={yK7LV+Jup zA8-Eq{<|D^UlnG8zSn!rPG zO@Afa_+w$*k0I5}mzjT+BHqSoPUQ;6`LblqS+cmlpj^7ghPC)$UbJ)Mg3{)Z?UNQS zZh{SiW%$5G>30>1}LxR+&#m~n$YF0`Io@8ofNNRhE8#ugblG6DP(xp^a*q#efp#zH0XE7urVmi* zw1au+@oqdA&Xx*k7E>MO&aK6#vM)D@NN-4s)SbcAShGq*G@d1Li@lCxwq~`+2K=Ph z3pfjF9ug6irrA>7!t6!)YkAb(QJ1RZ>Uw?zwN%a1?rrE8wX0bXuP5d|emMvDd?_Ck zH~vcoE~$r*I?kPrK@E&`_0!H4z8d@`)?K)i7Jd^~G}c3a6+Ra$I@VKwKTJ({te1dL zxIeCQtVKX!_;OazJCNX}QW}1pHS`ftB)o(*^c4^dPs6f^wF-!Z&%}-s>nETg{61zY z*56M$+&TOa!2khW!n?36V*>?r4Ufn97&}NnxA0naVUU3C;Ty4{60yO8dW65ktr=?* z&@=o1E@SLq0lmUEv(OL$En)7Uv7rKbhwo#yb^(3DZ?n~50{Vu>u+`xLTEm~S>Jb9^ zY4Lw@PA2&{@QS91xFEs@glCTQvyRCHO&}$$*29?g7CuQ006bh9zZw0gy(iio$SA_% z(`!T@*TY}eps&F+(cl>#mfY}lMa5dcx@L1cQXuZC{}bCh?Vu39`*lTPFJN5{^FoRX z>w4?Qqqo#-hlk-h)b%OiTG9HRcH+reU0(ry&FDa$x>j>DvKiAb33Ue*e1|w5G4NL& z-ipAX%4G%dk2e0VxWsjH@;T7>W7s(B#>(B@w;7ABocbv(J{gy-Zk%jnG{<^$vk@~? zH&HUvIP0Z$W$I+%zBJW(sn=rh)*a!e%7up8Z2NL!s6T%}548!@;@3^{VU`jaZM$mT zIr(^0iJ_JbSy(jpdUf;NpSqL$oH!bP6;(eE`L*~6HhZ#k(dq0J@NUJw;Sj4kUG~2w zie!JyP#!J5tp?+-J4MQl`ZpOye2yKw?rMkTq2^fi;yOn9P`SUHr!-& zK3z62nrT#XV@|;pugg=(wAy6HsYWrT5%*GEkuLih%{kc&32&T}urt=(CZ{CrJlPD| zm^rLHu9tE{{ou#477qVQq~Vra7JyBg@pfW^45 zfLCBVU&w9Tn~-~AJm1J|+!vApd?UAUpXkKLca^xj;4q$VWVv+ zpUpJoZgsgYO2R&4VMsQpG;OdoCWEdbFi)BG<=gT7c&l(kwkve|o*qrdH(_!`&Q z$nPyF<|>q*xE>4IC~){#W}CB+Qr3hijM@EsCv}I7{(1m=a6UVNFPsM8ve^d35p{a|D4R4JeQ^fJ5HL$U&|~KyL71gG(F;e-pg*Ce!ckM`O_QUh5Ysdb z7r{|eh*`P=^Wvx}jOdd`Vf@a>sxxr=tN#5JV{#6yUXGhVB~XjzjH}jT=>(42nOJ=r zCST5_8pr)_-C(yL{n2sO3JV*0` z!7t&S1Xl*~9zE053`kdVOroP>AzrEJP7AiNa;|pVAF;;YMiERRiX68WkPc2zE8$-N zHv(en3G>k6s;smfknU2v%)I~?WnneoFNvoL#bAl5+oxYv z(H_GNshx0=W*#ChY#J-4W8ELhIs?w)0)CX+9$PG9e*@#1DfI{tXa! zgBAkl;71b1IC}*!7xXL*UBZlMT@o4T;huDsHY)__;gHUS@PxUVF}{#5mf_RR6SrXI z_{tW>c;a2S`T1fMZoCsu#AsD~nl@`Liu+M~d8Y13Ufol)>5Cv_w^Wy=Bs|FkywdbB z=H2My46NtlM0>0qx%5?YzQGDLdglFrk66$kQf5$-VKh0nV(1%?ilRs@=!2>K4%1*X zRg$crS2Im)FGf?}2c+|JXa1gZ)pS@K`HhuxwAlsde8Q-6?!}I{D)WuZ+z2ZP7Y#?s zF;evyRNe6>))gsQCv`2*=2c*i)IZXBA$lUGxOc|O@&X6?^ssm zVP-MoS}4~iM~JT(z$eE(Nc^FB*WIXReR8y+jgaS)gK;hNegiV6KTTCWIr7(C_jmor zJ~=|-lS6%*n)b#K^1N}3LLZ|c&l?B)qG_=ZUk!jaj)4FTA-);_ZybDuy>saP)D^m( zamR5k(%M5)Q!ncJkNt6kJbxV9(8UQMTK0V(6yJu~ni8UA-}gy~H~Z8OE&K4tF$iE< z=&e*|L(g@{!LQimqtlE-4*BSm4mmzW%j%<3I^-ZyADseK6RD3*GY&cAqfZ4OS<9K$i|>Z?Xkbozf-8+n7-G*=fclhkSOLamgW{ozf-8m*|uF?36A!xT@4= zr*z3da$b2H&=S5oO^tN)tW%Bwn7-)osmmQ5U!JDcJNoE+ z8i?tZgSSx48>s`19!>BGI8fTar<2;9F~mD{Is>(tGcc9Ghl<}syp~VEZehVq$Wv%> zj%)cG?C#B%I3I&u^VQMWOgWY}dVQMWOgI)DuYTd$mtfo-lLezFlRq95c zeo!HuVHo)(lXyn2oZGlG^?^@s3{5~r-7aBwMx{#qxatn_g{U3oDf$CWQP5iW(8BM( z_tp1r4@+1Ub6oOS)~T#dy)NOMhc*Xq6c$_#$#}~;34zVg8+-F5&e0qDLdP+kqc`@I zN}QuN_C+Pm(HjROk8>>t<=9OBA>|L~jcQOEyg@Zpx5pMireS3N2ZOR@fZj>3MwSpg zks6sev-3vg$nGv4P>V4qqeV=QpOKFj` z(V+kjjGh=@B9>(0HN0=U~#?}l{cbj=>%GOu5J zkJtlB2|})wD$3J6hG)0=yW%2<^AUSUfEhG#K4K3QV2Se)yIp`^oR8SU1cb!-h&^0D zp*SD0M+hht=OcDpKt!C6*dqnND+l&qdlUh^u6fQ!?D76n*ahAjs-kc(a0Jrw)}`*u*IVZCl~8+YU>cI(f5ZlOTtI$4UkSCh z6}^WhFcqlB-Y%CmB0fp%ok6~Kt$dQ$&sFhDN#&EoexZt=Ln@yn_RD$A0PsnYYA^73 zpZ#XhAxMK$lGFtS9`Cc?le))>2NHW%kZVwRAhACvUWh&_4AkZc_6Vr%ja9* z@IaD!yCB2+Y`mb!#}VOuHeMd&glWS2Z1BEKXj$<-pi&~m`+%y66z{X~@*v9<3h%SQ z`!?YsE8b`0-9gG)g!kFteLErZc%O}z2PqsVyw3*jdkaFv`)u$&u4cviY`i?koN?iO zHh3Rpiuc*zeea>1;(az=9%Rl5!uxFSK8{cEJ{!F6D&+KdpAFu})#C9!8@vxM-KBY- z4c_+^GJCww2Jc&pA$z>f2JhPosW=GSb;SMe--bu!TbKkO33hR@IC^?`)u$&B1d>%qS)ho zHeRmfMyGh64c^D8P`u9u?+c^nwkS1w0v@IKx`;&Q~si-C&){QEI+r1>$eQxk$(vO!;I1CxXe`Z{&u0PJ7`GT&TG z5+rOeP*_05SYUDHg$)Mw=1ZKXV_;v1rGyOz_LX)Kz~eEnFDh{!kAVY{sjf4sHUhrG zQC&-FTqk{Sn)fkhG&QliUXi+|lO9qhV?X33`J084@ezdIw($5CN6kVJ{_iv>2`)MN zLj1{9q1e|l!9-vGH`FLDE^}Iq@(?Qa^Ft74T?x}u50&YaKk{gr)~I}joLP-ZCG!6F z8kM7wE2B|)9w4+m)idm!u4$L1KT)5gP6($@SNJrYg=_f#U4OEko$&N0jA!&G1R4Da zzdfS@C!*DiB4sE56)D{-G6yO>o9@M8{29-tU!dizXH%lAXH%k|^KAMBcCerG zY-(Uf_*u`UB@kvkn{olS|BPo-%CeqK%TeyK5B4r&~ z(jt25(CQpT3g4HnIzDxEwH&B-S9=F46)C)hGX72P0SHjpc@i#ntyaeOt?E4W3X|$~ z#*pF1>XmoUUL7AdpoJcSl#zRpvxNSp_%r(UV^)GJ-65~<^X>giYNc%XXvmHO#8 zBB5Wo1l27`-CUy&>Z#N#c_)f`WkKUuW+(`sByd*a6vD!?Qw2_Md^Bap^xE_x%$8=Q z`oz-8m-&tA{H;EyJvJ7yJ$+I5fAPsw+>=}5Bp4H11X<^?b(HV zaFwTEdvWLNV=%mOq8J{7?K{kav5?YUIfo$;kHP2HLaXC>p^Q8d!$T)4kVj&8=uDwR#zSX-mz<{;JajUyc^*1hz;eYk zIb3uSsi$Ok=p^7%^1*fKa~v)@Z)H8SgTO^6GpMIzxad?B(GCI^ovI$%LExfO)#JJ7 zBv4PuaM4Mio|56BlR!Ns!$l{7dP;VF`jiY8ou9B1JjdaplX3Nw3>TdQ85f(l2roOIItQ`$)<;~6KNQZ)ON z{93(tj>Ai*_mrF}YQQPW6WqytB!-*L^U%$Vo6fPgLK!!m=Ky5fblw8MN8$wy-U$vr zosT1pkHmMgZhqtlr=0AHdL)KZPAA;HwU5m zjQ>s6s~(Bzf3q8wUe^C6QP%$^QP%$^%c)0V`rqt^n=h`p zmXRF)n{$yl$N%PEQPZxh|INja$|G?*(&dqOMU!`e(*fslRGoFe*@)?qM`8z$!~|If zob0Z8B&GvSnPn_8HdbK0hrd||oOEiQalk1a&NB`;iPR(UZ<@UmoE|u{kHqxA$*IVC z;Jg|)Zq@^54Thfez{x(TM`C*5TqYBm_Q2U2O=UfBa#dwLa1!Ns;3Uj?;JgJ5sz+ja z;Jgw%LEsz+ja;AFY12Tr1_2Tr1_2Tr1_2Tme+B!0QGcY@Oe=f`M?kHn2#yc3*0 zIM2o?@JM`87dgQ%?c$k2=!KKF5KJNOb@5Cg{?dl^xYqLU>rX%YqQimC^6+b~Z-B1$ zgwhmRu{ERzXLq`d@nMW#Ui=>8d{_?NT>Ks5TNz(l{3zqonCFh-9gHtz`ugJ67+=Hq zW5tQT5PU}PL`edpOWR!z!^vD=@q(u9E)w^M0V-8b+OWGw+~Wlj_jrNCi|{veV;PUe z+Qn!kbdx;W%ck%Enn(n=f^@C+dJ0#EZ%10*_lQr;tMvcI#ol;6KweeQfIxE_P+eYi z@N>+T;m#na2{u83vxgw&_5tv_Z?a5nFdrc3E+?o9Rse+DUou<0)RynQ*MPT5^BRKR zqPjx&5Q_Q)p8<%tPq9$nz_e!?slVO zVBWi^E$&`H$w8r40YbP!8>^+h>!uflzJ}Zg*br!aQl;JX-g%xGiYe6xr6zSx zn{1Lup(aJcM3;C&Ho+`tPVt%KcW+70_(`uVh%vYkvWFfv5U3m+KVtz2v zl(%sEt)eji%3HYocF}9-o$?m`U+U^-U@RG3eGNL2(ba#C(J61?_UFP0;Vqn-DNB^5 z9&XXo?!u|Te-Iu084doO=v7vOPn6Z*6aAb9|4y6_Kc~Up0@=@M@Lz;5tHI|||2Yjl zWmygWYbf_~8hnl~tHJ*za^`68xrTBy_@@JUbcGuH50N=XgFhET-lgaYHTcx;3ticQ z7KE;J>!Yt4NF4z+_ zIbA)=Wp(vLSzSF*R##7y)zuRTF`3_*qpP2RmPkytwdw=A)7u4g_1|I?QF^x2##6jefBkcUtBmMNM z^us*TwfU(J`lTP{A4399up4oL%|f_iAPNpD$DivedINiJM_9_IvZY)?Tgv2Yzl*}U z<6E0kUSCDzJS37TwgwZo?=3=q0goEr>x>5qZnFVkSGuylUaNney0^bxmN=prcP&2d z(a!1&pMvPo@mQsw9fUfRl{)=GqxZ&!=bu`2=0AT^LM-KmXDOF6)$Eziv8yxHTr5D0 z-DWaORfA)hcbHGJ^R#c6cZN=5Jf1pzfOn>vxBHk+J>{G0?VK~!++gOMsa9FJyfQ*# zw;jq8JqC^4R()mmOto75+(6_cv2xB-IC*3NOU_hlfJ@+4XR0-zl!#CE_!pZ!`?r;I zrdmVfpO#Qh_SR4V%KmM&3sClNYnb;hQ1Hp#8ZJOR*;^w7s3&_XEdG>E>ygzfMTDfPcH8GGqQ?1Ft%$ZtnkX}8g0`0HRt(-H}$~{x9S&o_tKPPW? zz&lfMXIQE7UV4-Ix5uoUbJfZ{SFLkZad7JKm^RqJw;ZImh+8CUYv4$kN!q}z#|0=G zxV25rK4k-kH$iql*}$!x!R%3sPu{aft(AM!S}*5$M{OgjekITkW9*(!w@B7&tU7hl zAiZiH-5*)G2e6fU09&~SFdPc96G}5+Sa4~nw~u=PnOdjMOX<#TtUX5i~V8O?x| zd(>Jmma|@^8L*%k$R4#orP-qvs5*PpS}>NgoYD+f&B7L4WDqt=44oH>JmmU~BS z8`n9E;j#ukP&;1sj`?JTZ1ygwTc(q^( z&mON9OyO)@J@;F9?#HV1OyL$x;o0NWf+?J9MjfvfOyNY{@oK>oPNoPNa@k3#M=)b-Y?Ig%hdc)q*LUh{r3Q=`Hw~>YF;X zRUZ(sRvWPBVbunCO`!-#pSSr#z4O+}J#Vf5`n+vQ-7r+IE9U!>R_4$pNS)tLkL2;- zbxIr5!JGPesNOxnZ)JUR@;UqR*p7e4gCDdPSdv10KK>mKiSw}?|BeSILk#5jcRVD{ z$9Dg|sKoi$j(^8P;(Tlm+*rmlN*>z-H+5pVkmHZggb;u9y(Aa-k`!&y;xWb!JickW|Fi7rx84gTs4 zN1=qF)vjfU&eilPTwk1Rx|_p~#~Nlyfn!-ftL@oXZLZA*X#hsu%!3e=znrQbu2<@#Q-g-kCqsjMQJ#7pA6-(rbpdq7r?O zWkpf0U$0y+32kL0<8r~(x!|(YW2gt!y_2b~!}4dVO9QDc4Wzm>km}Olveb}6(%qVs z+pRQ^deT7ZNdu`T4K7RFf_l)c#}CoRs8QaR+pRQ^>e4`}O9QDc4K7QK9j(_)JA|`* zzgN%LTre>g9GMI9aEUWzCgg&BobGgOV^TXt>mw2mVQw?*v?I>7^7F4_{*T|l6jrK( zl{Roi(uQU9%s+y0zJX~h&TkgLS1^raxC#QtGVU-RLqu4qac5{g_CX?o1EaBw+3KfN1FvAV9Ok_w zVh(VbR~eVg0i^__pfe9kQ&6+bUxtlL)H?WRPIZSL*_cBGC<2y!T>Io^LPJ3+OMIU&fnQtOx#0|ZK~V@?hpfI!qb zW5;CFI_3=jJuJK(b7RgdW?ZRt%vlbHql`=D>;S*3pjv0mn6z5QJlp?w7NuIpJg10p zrPeXeRYh?&v?C4l^ZPL#{WP}*4ndN71=D<7fTEw~wxZ`zgQB12cDb~QewsUj`%p${ zdd%mlwqcDZdz1M>)ouW#=`mlA^;4z7psGpy;Rh8mmsN8|%?e^Ub2Z5GwtT z`Bu>g0Hyyi-+~D*9=Hep041JtpYq z^C+h@JtpWUb1M32f_`#*O4DP4e)1nAgQkb{(**tGvhp-NCg|r$fS#tu1pRy)nLSO9 z3Hmu5g?2&HlUjYa(9Z`C_Y^xOnCJH>s_alEnCGvMRTMiWm?wc!?3iGl>>ZisW#iM# z(**OR8%$B`m|&g+O0i>tc@j~v^YVC)d75CJ*r$+%9!^a#PmWP3c1$o&6Wvyd9TUtm ziJ4N09TUuxtt;kff_csaQZHeeV4ioNA*I+c!92NK6!SE}Jc&H!X@YqYD&}c|dD3m9 zQtX&up7lUVv15XHvO&c>O)yWEQ_Rx@^CVKt(**M*Qq0o?^CVKt(**M*62;Cp6FkL^ z38HxnTB2fS%tX)PWP)gZiBZ7fv~r?YobH{dj~+lWX@X4h-jJ6tO}vCT9l-xNCax-g z+g9sTj6hz(v`ZV9RJ$|PbCTYD4(X*cC!bR+^wL*Ya5}^VmZXqg`t~X*>7{R9h$9wy z>DwnevwV%?+ZUB|(o5d~m521we`6WXm)gat?UVG%a@v3VtHUp%0U?rsu4TN^cHh)D zlRP3B=wC31-6N3<0O)St%YS^ULKKTsbIu86Dj{wA{nfW4q`=$NJ>TZ&N^1~ zb3`)Ox%xtuP()HnbaP%~iGLxI!CqZy@Dd`4cg_G6=z!RJqXz0c+c z&(!Ay%T74?lrt7C?l@(3$GnA$&RE=W`Uxj5T5#g#s$c4dR`?b!n78nxj>V~&tMnUF zzMJ))mGc(PJWZQ%`l4P7=FK?sv=bKfI{mEEd!0Vxw3B+y+&tlC{0m{J6?f{Tn|H0% zciP6Ns?_RrdbRx&mfbO_7w^;u=s%?Fb$VaDDRs~~y_cn;W03$k(`ReqR)ONyI z0;}y(Y-dYSRqIi#I(7egy~gIhVBaTo7E|UkrCvWj^#;+6sk{yN#EWa2Kdx>5xVHJ@ z+UAdIn?J5?{7?`V5;g-eW<>0^Kp;r9XjWG>lVFQpOt!Ni(X^E zbR|VK#Zr(LojSwm-bkHPudBSctu@%Y`%x9fNy~O2@f{|w?Q8Fa4Ybqsdu*O zy?Sr!-5$gKE~A=_kMX*&O`2uzO1-m9uPIuAt3c%rlV>6M+*ICnedt84M089m!s=Lu zrP)4g8^T!t$>+mkN|pxW~>Jv=tqz;a}0Pkr&E z-mBAP4cMi;WWEtAj&oAIpV9}Pa16SXUe>!IN*_V2;h(_Q;BLWHml`ebn)DDUF9g03 zRln}Z`HoJy2Qme~TT(ARrPtK1#z^SJg~i&DoF*g8wh$Qm-qW~uWHx%A-YGT$?@FDFYKmUKydUPxGyi2GtVty6ccmVC8tSu_ z)ECT@rYG#eFTkvBOjSLDyC=On7D7LHtt20Z9>cqgNZTXzE>ry|2%?v=cDl({mR33BYM~#onw2{#&ZY4s`jisc}1SH>Eio zrSz2eCYE_;uk{w-XHqvKo1rzOUfQAe)K{nWp>WX+Ic>g=Tt95?u~R>)K>swg{0}&M zc}7i3t^b2wZ}WKClKLH^+z(czzDHEYq4XyDpckbMehZR!)@j;>sS^>^r>8D`OK;ZK zr0z#xUvj3djZM8n!Rsmgj}Ww^x-j@EHIc!#)L9JLQ)>}KT7Iu<-=Xj6|8Sk;zyAl6 CLK|fO delta 38777 zcmc(I37AyH)%M+cxjp+Z-NW?E^z7_2z`!7kFhH{?D65EyvLh;@B5sIj3@*5Xt+or| z1_DNn26v5s3gSXE8WS|e%jib-6eVfo+p)a^wR^X2=W?|*)H`c|Dfr`B7y>b>XG zJ+tRw-+K@HR>t($s+zjWWpPd8&(`p-P}6jVGkDRKEqie0BL(`XWTddY>Z84T3tJZK zEqq{63ap_;&U5;R3r8R=a9ZK`Q#LIsJUM8zoWALu&d&x*me?cqY8~e-Z+h;)#lFE8 z@72cJ$%h*H*=O(7R@NoIXt*SHUe|jrT|NBgspSpN9VoeY>(4t4KIHbScuOF8UE=`# zrn`1F>h>{`{k5jU-9viSHLg8iHdqJpl1*JM81-h4doHcJ-KV`#-|f=nP4NTKXSelW z>^a1~me@sz)ig5ALaeBlaQ8=_4L4|7iT%;&z1o&Di;{otQrUVHNfO@hC4>pb)lT)$u$gJ z*YmOylb8C=sB8Rc-}R&F>c84&Ha(YoscW77Tyk&Ma$E!581LDwK2iJCzHQyoT``;D z`_Ywso2+jQm3q;>O_zRUM4$Z#GHhbG>h`|qvvo1+fZ24>KFas?eQU70v%mY;A-%lu zIr0t+zGQhre4p76Kk%19xAs=uxVZOyb#F?I9G=Q;wK6^^@N2|aMNh9Pw)yjJVV4Cy zk*bS*bztbGhVCV%{(SO~UPtK-$!mI5>vNO8?DZgK%gp9ZZquaN=fd?EWb2~jh0Q&C zt{<1Ct#OrCHa>Is7H50lq6_1LFPnYCMMkPg(~_5-c!bLFVe*g7n~jhDzG-#Kr@H=e z^3p!lxUTPWw0`2IgMFG!eSNaIe_#FNxC|Q5j?CZbMman}`vmZ@`SrTd8>s+)`r9Gegx~)25&o2p-YIW9w#$K%@eCXEHA2z?6y!7M5rXB;XW<^gPa$eD> z;j>G>!UJ)PSK6rLh=FI3H*iSN$Nd#=tH=9zlRK!pp*JPl4y`O2RW$p$ueDHoXC~v^ zLu>SpH(h$@=Z=0!@~rq_dSh~P{1|;?vV6o9NLe>xxIS{zCnMfBjgLP{zI<3*AHAvQ z@M=RJv8ngi?z*9Ao2DJH%+TY>KaFd04*&hZgQZ$(=0?3N85{3+27*3TOZ8r_cMinx zK+eH$L2|lCWVg1GANfVlIU4`qGH%e?7ViAe0MJ$+HHL1?n zw=4P1#46`ABz(3jdE~?@yU^0Kcar;&|K()OK)(ngB5aLCM8<6xPUg5B%#_IV+#Aqe2+c zh^j2ZF3(9<4N{HkF6n1WH*8NH9kWAbUVsf_7ZIW(nyy=x?bB?dxS}IwKBlA9U=~6s z=JmRFa_Pk-`oQGMi|h5N$%ilQ5nMviHy|=R`Ik#OU3-ZWT*;I-kut_h(eF<7xTIcx zDmmej`rvEiegy6Wk8A9yNUpx5&Nxt!+uk%I1#wGv zu|0+!_Sl{Ej@UrIroD!VZs^V)BpbSaHv_|-ErD+iG8mS<9h7+slg5=#W?=cgW8k;9 zlCzFdCzUUhBwydJ5aO<#v~V#64=}aR>eUFrTPfXAI-0?HWHQ@JXCZE1pWL~zdf?A| zXm2?Ga->-P3&GYSLg4wnlvKHSKUjgganURC{=|%?WoXL+$zW}iy$jy82FTT?sPTqa*H2s{UdEYesmE?^3+OT@vcwaNFJMJ5j@YXtSM#m~J0YX~NN;kCRbzv% zi{N1_(^xgy{W-ahCqjz7z^x$tghx(u8%RFsk@H=-_s@IeRCiPW+?PFajys3KuXyAP zE%ZI@le~7?ji=Y^=HJ%0%!|p@%7YMZ%rRQo>%B$GTS~Z+x(_ z_f{r0hSVc;W5Qj^Ox- zI;++m^BELlbdL;R|Nnz^Xa?neunrkNnC0ff4g~geUZLa?x$Dq#sLG6#^Z?72Zg>k5 z*EaJ}Z}iSAY1UTnZ+p5S6A^qq?|g$BfeJuB-}UO_T(84MJn={t<9yV z-?yNcggp2mUm&^mq2@#!S?Yc5{?C|Y0AP@Bu*_Y}H-$Ne2x0lAlhYxD-*>AY#84qZ zzB07MH%y2^-(T4nHTg?@`4o!_C*r$?Vk3lz`c5HdB#A*(JtJhp6J;Bs*MzJsQFR=KMY-%{q;A1 z(C6Sx^*v6`C;88VnC5#YdD^4>6QfZ<7t1%-@Lz<+L{{M9JJC=RHgZ2RFEHHGQN0xz z&+%Abl#=pCDil>kDinE>`4$>}-i^Y@{jBFS!+$!K@6yQEXJIM`338&O(z5HV4+-MXJ1vyqPAIwVb zGeY-4_-&*7B9`&H-w3^!gciAg z)JR1+ku5$gnfF9v;&Y~6YD88*M2jpZwZbT7x2?#{toAaa@ONmMKXNwvb-58f2?JXn z=}z7iMgi~L=*U(!=}MyqvE=y3#gx3t=zKOxn;LnUysM2ePN4ab%gMV&QKv_`B{(`O z6?tx?mCRK};fDy9MXqKQ*BXUifm#vS$)+V$g||oEW4`NDzO|7GQr9c$-pDJYZcx-i zk=Hn_Qi^&i@;<2>74=-?5+~XF$x#IbY~dY7MR(jWE#f3EeR4oPr?~HC!`+N(D`zKn zK3Rzuia$R&`jq$Cj=pA?PfF}960K%se-Jh6anTn!j}>*q+EI|cx+$n>wL?(2zNm$9 z;Dg#Z$e}M9#cjk`HMMRMxr;mZ6z&4tMs8V!RynTq|46QRsyre2Pi*z_s|+V)GSm!3 zXZ2~$NK`slDt#eS>9p=YsC3#euhQBIwqe>-?p(&I$qh4*H;TM<>#Dano(T7$r7+()5g>(fQkq6}ZGmj)#%lK=Kz`JdEVD`xjv~bcvB14YMlH*|{ml(b6)KG6jPpOrMi3)8-vsTbW%xhXIysn0_!umap_tx$m{XO^EY8ESxL7c;K81W%3vPe9j1 zPtLqRAy|HQVRFw_4?Q_c{NtFF=*c<1h;hv&dUDd}slXzH&$}-<^qH1?q9^C+KwqSU z+!@KlGv&jGlpGH!xkO6Njv$*Eb%~Um7b~M^NX#Wta$c?+38LO5QgU9&I~hb5cTMuG zXZq$76FIwzE=N*_dwjCu+45n;KaPigT;d<+z2NJpdAv*f<9ty32=YvJiGQ4rLuaDS zsV?!4^GW^@Af~zRB+q^}jei{ApCAek6aP4A{1Ybrae#lmL8=ud{sC1=${!~F0aZmR z6ej+0()cG#{Nn)se1n@@8Ycd6()cG#{Nn)sxL6HZ!-9XDH2w(_|2V)uQ83%X#6J%3 z59f48nE1y@T8|L_IKV%gMPu~{@s9)i(-+0QZA6HF9N-_mpS@>9P9ph`5gY<8-Y*KQ zLZnk&kv#BRY5)sz5{}v@j8olSV}0+mk!Db?z?U#{u~1 zhq(|Y_;CP!NN8b#9|z!vloQ^PEZ*KlppTP=K4C&12hfK-w!(xy4xrDm=!`#1=;HwT z?8Ug%hY5Y0H1r7*`Z#Im6DIU=0Dbl$b!wQ<#{u-=+?gLH^l<=vNSz)Q=;HwTkUTd` z=;HwToQ!Z;n9#=o^jQLGMVQdXNkgCTdmKSPALd&dCiHOteMsFKCiHOteMmhNCiHOt zeMmhOCiHOteMmhQ2J{*5yn;RspwEM-NDDj3tDhgxgV4tT^cjI_qq9leWw>m-=I70F zaOY&Ph-j6C$-h27IzdR}021-xsU{?H0EwOg5u^UeUs!M!vJ_Yx*%3t2;I=1bDu!M90(mNdHMkGH1P#i?_mWz5jaN*=cow_1fWVvCGFv69=X*c4+?IpTL# zvkk`4rHDUL#mbF8VAdvlk5#i)<6+Dp-;OF)XFSEsFIKZ2qZ^>O@Au`B-ie)gr?aH@ zEkb;E`A{^;)6(0F8`PKi_H^d{XnJaUVmAM-up}uJzB7WIJ9F_I&EKRwjb`|-Nq3ok&lm1!H!0y^?k^-f+xra-baHmPQ+&xp#7rB>7 zh2vsB7*9EWmo+lwjg9W+at&O#Z77(H~^S1uA0_@zTwBit~=|`~(6Dce=G20sT@WUAH{0VvILQsp4lRv4jl20o(XlhyW7opU0VR!x>P0c?l^v48} z8o}$4=4+h`aBK6YgkHz(?m+6u3@7gdtakZR^A;5V1fY@=z?nntxomBP)HuVpA2sUd zAvuxBs93Xn_d!m-4#~HrS>=hJW%JJU^~RLY9|DuWP&M~A=$TjcNxs9e8t8nZeK*6u zi20-2@$}`N?OTH2a#r$p@J-}YWk?-LW{K~4v|MBe%$pJ!At|V0BfEH^?-|}!s?J8G z`T1PHw2H4eZWsB+vy;=nJ2A~KXPH{%KQQm|R~Cj*2`8_<4E#$dBV$yxj$?b1uME%X zO0sW}`h}<2Z{qF-^A9V04DyH^(wPa>TX7%qNBFBT^*9#gOw^37xCaG)tt9G?-4MeZ zdkegp4VdnQee!}u9q!{OK3H^x`#u^REV{~lQRu7P_awZ={YFBVQljWeuxORrCgHX2 zR0)&rnG#;-u9Wb4_dW@4aG#Yh<-Q`}jqcYH-sE=1gbNnk?Dm)N7I&tEtKFp%u5quG z@OJkh3GZ-!D`DbJ_cMvCb@R}TV9|QFmxTAYlO?>@Jxjv--Bl7k;NBCS_ke^?xn1!b1&e;| z#wFbB&XsVhyG+7o+}kC5*4-lEbMAW*ZgaId=G*R;N%*|mU&0;k6bWB&&zA5-_XY`H za_>i&2o}BUZkL3e?)wt{#@#33D=ts_1dCpE`yi|>^6O<2B@)ofPL)VdFS|-25j~%c ztSze0^Er&QMOAt}$FH`iM$hNK)fP4A`6_#(p0Bbu>G@YBkl)}QT=0c#%SIC(!GgU} z&h}t&KldT9g2nya?;9Alxt$sjmL%Lo5-xM^mhcic(!}&D-Ch!2<;Eqv+C5gnYuwW% zT;<*@;dSoA65ik@UXsX7?rsThc69)-V970RjfA(lLnXY+oh9KqccFxLyUQe8@7^Kd z26wB38{PLM{Du3ig!j7Pt}O4%vbUt6Uzc5t2PataO<5mF|9flMkrMf?Y`uivm(7*% zA7z(FxUcLPgmtgtfh}$BTsj2{wf}|Wk~b<6jX){7)e_oy9P5?_CCS2WXjO-F6a`xt&tlPh_R*=*2B z{WhWJd2h4lSNq}^M@syc%Z;0&Qjl>xMNe*fEF*fY?{64*N`yk#{P0tS<{i%)b%T%Q z7Z%hFoECDW9L2ra=fg9=yraQ6GMl%WdDr-wuwG4d6F9{M)`BeiA`aS}zD^ix_U0mR zF3)oR$R6D7`weayi@p<_4O#9q7JZNJ9CU!(E#Pd=aE;;EO{^39aW3V(f(O$2rEgdQ zOFczC#qZ0EXkGxx)~|d)+;wvOAoKZ?A2|0>xyc)J2isSBf~XHu##J|TO-|3 z%^%pH$9=6pB$ODB-*J?b3C5BWnvqBOIjx*-O8(crqfi0m*t*Lp&w9%#uQkkEtXW%q zFJLOL#5?f2mlBy0mBdTFM=<&<<5~QELy2q|d55E4)*pNr^@NnN8~o2Gqwb%u|LFTA z8qX3e^fQQ`*ZXNG*m~D@CsqKqpcb4iF{lIq z1hvM;K^Hi>n*g-&Uxi6j;Ma!+3j7WF(3c=ka~b%Z>fB(_-(9u5>$10gOa7TnPU4Dh~1^NU_ zcDQO0-Q}vq^8;6{ogcbt&HTt!Yv#wUT1)r1Tsyla=A3l4R`Q1VAeIdla37i>uZ(ih z+_$88b3$LSc}q}T_(Z9?Nt$%BYSMl%!>6e9^Dvs>1=;4^iZ*NRgLrQA@SM;f4Ac*b zN&Khf+`w|W4aPvQoFA0&pBAwILx#1q5@ke6i!o<3ew8N%`QIFt*{Ymyc5E&oMq)rMKfIluxL>inbjRm@BrOXsiG4AVgst?O(#U))%&& zXq!}Pg=yP~wz{xGdT(V;?w!n6WKhY3*_rWGhU zT!_*ztw7PZ5RovgK+zFGM8mKGCA8>Bp<-G;Wd(|k^Z%ZWpcN=OzKU@zOe;`yLJf&! z{b>b?P71OQn%3LO{gf3bI?LY`lU5Do>|(~XFs(p|=p2Xr zw8FFkMd#HtgXIsiDbW+FI2tQ3W-PFUV2MAkoG(tW2d(xOkP8{2pKIa>7B68DE`R*F6y zI2yS_VIInfZWbcHFAwEJw-k*;Wf*df&{oM43DeXR-4WziM8h;SMPKT=n%hR0rl#m` zYF`3TABL$3CSWnoM z`Rz17L}5K4b$X(m>ZK^GCnV2pr}ZSd%BXk?8JD%wdJ?_X==CY6745X1L}5K)g}1lA z$9%A!FyGpCT2G>|o{+k?oz{~mtS6)%YNz!i3hN1}r`l;fiNbn9>bdp=^$*dT3_lBf zSsV0a^fn{V4-i636NDJL+vyoMqxx`#=>?Q5u>LWT{@$} z(smjVqc9?p*V0ZSViZQiX7F0ud4?(q8zOlF+G#_Ko?|q0fY;tmRZ$c+M6R73?X)3A zVMC;3yqz}0XaYt=GDo-5h!}+taU?R1ZKn}23L_##$G6jn7=;m$lVWoF>6C;KaW6VQ zbubs0C~SyaQ%389X+w;{hR7vjtUj1F#OQrS#nCABZDTNPh*8)O+tK6qjKL>aSpTCB z8HKaKh7qyVT8SD=b>`V-yw&1LArpQJ$eal;B%24B6j(MBTCF>A9Y6&hAL<-&CZ(T` z-%^HI1LdecD}?M{gC!dnpJG|*3`IAmX{-)+v&%4UE=HG!h;cIt~>3u!(+Li-fWDJ?Xf{}!&koYj(0skLw!;G|2_ zETCJOj%XeHnx@ra%23WR89gNQL%h}ER2s^AogV7rC3!5aE$ru+h)BVPHjKu`Xad$o zu2jQlZH&U&_(u@N28>kIutMfAhJsObSP}1^O?$!c&3MeJzHpvGyqp(QOM626cEG`^ z&0#6jQasStKN`jhUSUv3hfneW(@qq-~8+Y?H1)Sqj}NdJ6Pqr z{u#IzG-Zfhx}21{NVnttS;1DxPdi68zgGd@Vykvb3u*t5)N+DAb#vmQ0Z|iGpNc>T z1EK^NLl>xwjemvPS@oI2JhYg2<^G+G+a=j(KT)Y8&a6S|o02+wJmyr@UjnqINUOB? zQD}SB4&l*___Ya+;y02`Q;R1(f;D_AsWiM~d!`LPf=&9|p&dq5Jp4(_jH+iG zT4YoYxJqg9qpOkptdv0`O_n9SmvPia{4#-Ms_F?D!}xa?q^c)nr=%H2ZkQJTkkjoQ zDTf29a?1@cb;APIwwc^&(bxl!gQvr^?8`B8?NLZKjluvXm1z|&LaSOWT02HvERRr< z*W`VB8WVjRDPi?#!rSTw+|#mS1uQ>NQ&QTCD_pTWvt^P$xLl`ITO7So+nF9 zq={t7MG9}Vj%41$@nTxNN5+Vnaml9IG^T@jqq^{@Emu62Fj5=Sh0NxJ;!=m6%Z+bf z!#|Z4QLnBVGh#6+tp2m4#w`p;^>Ol;?4^V}LGqZ8#Bj@C?dD)j6DjK9)d1v(CMX*} zANQwvhP1PVQoNvqZS3D<@=lZzj?0uFvE0g7Wc+e==`(2_mHWBF_($B@>d%EmeZR1@ zF-h)0)s<=tsQ*{(lY+frx*QKlb&HaqWkB5k#f+!$+*N-erP5eX&N-omYRnL}rCR07 z!(e7dxBL}IX=9ow(8nA9UjItsT1=tp8dbz(3droqG|nsMGB&VPNu5P0nIUpf716L; zU8e+AWdszjCV^Mz>i&v(-w&B_8c?cFmXY5{7N2c3rgDeln>Yi`lgYh@d}$sl*5X}w z)6SP0O6y0qO&`IL?3%`ku-*OliXaNVLYoZ4sc-3 z%jC)q6Vou6mLnLu_*BhKAQFFwd9L!$pblaU5~MsWehM46N*eGqQ!`ysYgT+OAGV}4 z{+)C#)fCpJ#b;qns=iM0ANW`K_p^hGq!)EmMqHlYm`YR{>^(Xh#Q4c9>sFDa!6&!J z`JByn`l%P1o?$VDezM8&8VaoQQ2|Bckjg6p@h)WDE=`2J2;-maqY`MQz_Wo1P#O(M z*}UFLx0*w@qhKZYG%)=W|6cNcA?>E&DW`ljMo(gcsy$0fNx zvt_5T$~H6x{u9#fDgUb2Wl#WBKPCLL{}umfc5AEfZ^-aR(afyw?U3lR#}VPeEugeVN3%K~}_5?oYD!&_NGA7Mqpb6G-PA)?{Ku&%~hg@}dc zV8w~`6QVx+8;n-0zn>VnOZYVsZ9;Sn@5Ho>4G^MRcrqT@*dao64`0nD3>2bA_)^TM zL~M{yJ;Q&*0uyT&qF4A1+{W0ULNtdjXQshIw1k%dt>&+gVjPB{sXe6NuZZJq1Xp>_3jtY`%e>-68}}w|eC>((?0)bA5w0H;ZW$h8Ny3tze;nHFZTkQmqrR`RwCgNcxPZF3+Q?4g`A%>^+C_l9`H0);U zm&Zk2cB6&bc-jDJr};Q`H2tcZ!8?MNnivY1F0+lsV6W&~O{AXU=eX@|;_c(8$wiB| zu-a3ljd~X^hxdTKiVTYH;BTXebTmf}xx*l(9Xy@jb#HDkC}axb2tz%OJVxBfuD zTlUvuxvRZTmi_lL{DhRHhU+obsonu;-~B zPmysCV-nSM>ck-$cRe~>Us&`c`ZbP+dK(H0n0FkH^ET|0M`9e0^ET`ciTv@HY7P5E ztv-&&c^mf2@rQ9d&f9R1G6~I<&vYBz!c;drlstmen3u=1ep+}piGmQTv%=qFb~F|W z;Sck3n#Lj_LYi?Zsbcde6kzZx-Nw>l<}ohE`f}!4;9MNCw_(jwucDi*C&38w%J343h5L3q9S{^ zP=)%$X?P;-5&2KjN?EE;?8gR;5@tl7xQ-bQ6Dq1tIu6~nkF4B+1?AYDsB_XwShnqn zRV&dIm7uW^Q>wP1c9k#_54C-4)lS@FmCz5{uzf<+bUe%|AzFikSyg|f-C3m^4Pkp; z)gMrsO4!3fPOd7$TBs6A*@J~uKD_)&!sN{uij^1!{9CD&oq<+Fo!&n3CZ2+}IBn7e zj1qN6&LKi!l&(W795sUegc=G*4WTzojv7J?(_y#?jv7LY(zO^DM-5>_pVSxqcScms z!h@{Z_a^$}99FdmPlHN05j}8@sQTguy>=#4t;FCfiGB$TjB`{~9JfKGEW_P*j;Z>2 zx|5T}qSwyxm38QXNaXa|D%3_>oCrtl!2O$rCqX-EGN$<3i0M=K-WEmXW%zgQ(bTYa z0d?@;!NgHL&{9sk#;J)9k-Y)GR(0*veOrO3G z2XT7vs06)W9RCL9_!G#2K}440zXiD#q-x0VyFso5iJ>Rgj~bU`xr0E?$&%eb(kqLX zw;bevEct48Jf_h^rmRsYx)5xy=*?gssK~UVKe&59dSx|$q>C7jECu;gmNY@$ohAQ@ zqUdeL6Mi4$k~EoEeH>5UG&e(SjWjh-?Vx@zkjD*#%p{}^gbXGK`aa{IWCrAE+Brxq zh749u$RvLxgL{a6Cr@`!pxNS)3HD~%~tm#mXjL-O4=Z@GV=-kXxG;^dJ@ibP=z})Z8LIcjs z0)EZg5nC+%--?dRmhu86+&D0AXG?j3m?G!TPPmw8W}ug%=ggMI1%@bc#%yU@;0Q%d z(`Io(8y(JeG-`4fpF5yXef1T+G41{e5>JHocMcvukuZ+1SAym^&(Y8%43X9el8Skx z$4pM!=Z=_+oMGuk7(S@$@coULZyytCoz+L2MG?Ou>nPvUGHQu;?t zgMoIq@M!0w21|PtC3}8yhNE+ZD7C&4PxC{F>}`T*jt3F*KlC7Cjw_#m?ElV#i08b> zd=5*j<#`KPjy&eAxL6%6rIShUe5SoCyp)A`-$?NA1ATTd?cez9xbQ&6XU8T;`VULq zc|d)mn)cZd;=u;^?D!Dutj~@zj9K1)>$77rr1H}~JGO%e(Kh7kU&1)fGoFGEUeq_L zpLb-lCLOh+2_Tv%a>4dZ&j}T8Mr2Tk= zcse2N$0L;M$0Nkk328qbp`Y^Ov6hYC=>+)kU|b9FbOQW%kjVJ);Q1&Gemt%ptmpXg z_?CHP9A+0Yu7z^_c!YQc0e(F8gX0e^y#87}>&K%Vb%c1l0De3e*FrpA@FPDS`S)G_ zXZ?qMJVHD&@MAw7^e9&t;*o*0ACC}^48V`aNHjYd;*kOP@d#izVj&(GfFF+mAnHRn zGN8ea2T!+m3Gu`L{CJ!VBICycMW=4o^^7BrrC@f1cr*ZxJgx+hapWOx?LxVZJVKr$ zk1gol_>kww<1RF8a>#S!!IfcZ$aCZ|5X7|5JE@qVr@v&SCy#H@Vfm6ZPyy)ClC3Om7YAvQ(v;ulSe7?sV`YGo;>7BR(kSa|J0YP^yKj@ zs>heC{2YOvJUFSmFInlygPxweFInlyqXETwU$WAZ$3|p&4_~sTR+>6od89U&`fB-d zmA*WNVRoo5SLw^+zaT27XM8a3l2Bi+W_)>sZ%-|?bnnwu`t4}KM3GNd>9>P~`gE0k zJ4oTv)znr?SKqGEZ3ovXO}<^F+Ya_oeY;Ax9b?f)_3bL%cD#*|Qs1s-+;+&fs~NW) z^6e_!c6@<$s&7~6wu93}eY;Ax9i+T(SLwEcr22N1Zab!-LiOz`-F7ShrM_Lwxb2W{ zSLwEc`P8?oblX8neY;Ax9i-H^t908zN`1RZw;iPT?P}^{ThIFKxC=Gmcbg}rIZNl$MF0eu^>l{U$3U_aCG(gDm{Aek&(|=>Cs~&h(KSA#5~;m z7``_`P{X(5KxsXbY95Xu-l@}BD9St$1qUB1-i3G#-;Q0p9Ydzj;ti?cJF>ekU*dd6 zcGV}ZHGD^Q_m_5M5jA{CcGWkpHGD~S)iDfB>CMa1HLQ2B4Xb^Xiz6$Z*2 z=YW=V2Fl}?+YHneYP0i3VZjwh8fRIjAh6kcV_&|+*?VJu=y;~H_s0HGiL>*@{;0&+ zdE=nSI2W>0j?MI4Hw5$s)u)u#C#$L>b~;#5bnO2@pKR%$hYeK65~4#=9TQJ_UdNoA zj)^BduVYS5$HbAI*D>EO*)gA!?t#AAhnwnuQc}y(Q8JBdY^ws`(b1+bn3GX=m`ExM{ z9j@Ngc?%_7|7FMp$my=eFw8 zU;3KrHd};%bX&ql#ry6U$BK57Qr{PwhI~_uM`1e?l_NV-xlysdL3LKI{FA{MWPeR! zULoGzG`j<9k+oPDHcroKR+6reHOI2nnZHFuoSs{kCO5aQFr z?q9;VI6bl3q!vq@p4e?JbNiLk6T7XHRLBja0(rWJDD8GXKLZ!9C-&d~1vK$`Vh<6* z60awAhY)`8dSVY1A|zf<>|sI_iq{i+xDch{^~8<~5fQH^_6Q-O;`PKHNg|=e(q2#O zasJcT2qH>*e2{VF^~9bKAhArmp4gLuCG4j1dSXuzie686z&Zi30Pl3h>xn(f{|pNy z)U;<8Gp@Xz*mE3q)AM>_&kO7T3tmrBBlFW>(_Z5DnGh$~w9hYMT)925mr7m>9uST~ zTHbxB+wyhg_r!iWFbzrYdy@K7zOEdf*jwdpM8xrly(7q$D#s`GiHfR>ze9<;Op zE$KhOgO)a+Py^35rlu8nSkng9%)=a0Skng9M$r`2$iTJLv=SJfKL?@7q#W zbxNbET8MJ595w(xUJ(}e=4S8gK~#La{=d`EBsj6`<*evdT;N)a8XEgLCj4KkX)tn* zaxLbwoOLGM*!uZZ3OubohJyY4D#h7Q!t~SwWdID^Z-1=7`Gn2U(oR<^Ap74daE^gg zMuGDZh_nKS@&82@aOhr$ z8XU?^5$B@VZv$hHN|!V8>Q${3sqahRqIDk&^;A2I|3I}R?(MffR^_mz8C6a`mZyv==THzCRnANhQ01gn zlzaOvysut}w2abb6^M+|rW~z{|4XIKHZ(hx-43_f zj89e)S)Z(=;FC4AE&5~KPR1oGJDqjOIsu)|x@3JHL-(J#Wc?f3nRUs^S(SCkN-D=C zE6J=&)|sd<>yq^hP(S68mHDzRSxIGGvXaWWWF?h#$x4bYSyO+m%+c*^Kuz$;ni^W= z?YGqJv}3p`XQwW$lKpmFmABtgiNgmX_Ezd2Ro;FJbqx*8wf{n0!{?{gQ`g)MnL>+W zTKZ|F+8k|7-4XSeH=)1^ls08R>V|6lkX}k%lQ&n?H47RJ zp+rIW6d~s{3?*4uc7~8M8n&eNSL-#o%A8@TZn3m7=ZFTiMeoBFJr>Oy3bXR5VsOk#|`#Ln*u2QeF?=xPj;(Y&wpHII3+8dcZpn$J8_MvFE z-5#0E_%Tc$qT;noAEV+|F#Xt4d5h(%vpuhnZ@cp9Y%lJTeRqb#P-Mfqv%Std6jLVc zFmxyq@$P(fjrZ;hhoO{H@6K=-%H7+0cZS1I?oxPnK9w!_t!e?^qv1UC0_2hRXgCjL z0rDOV=bp#OZ2PF2-qOf$|b|3IvXX)mFS zXS{^6&Ww}L4siIoyr?d{eZxyAKjKb%31vLvB~-FzUzcC1^Ncfa6Y9M#+i2`&A@cM2 zJ*Ts!=xCIHp}^J2eS?PU&+GH1Mu?#5uN_iA_< zr7!=Cm(epo;Jx~WhKy~7UPcF^j*OR47OP&M>1DJ#W^LBXD5N(k8Fe7(*=Fcvl(QV27hMSlq@>sOQ&s`q936=lAxUr|z7zoMkFenm-T{fd&3_vPoic>6aUi|$5E zd|$5Y>e*)KS#$|{k?}0LnG*gE49_6kOHs&)ZRWzRo^6J%Mft>JT#J4N!cX(*Mr4R- zoz@@_+l;@oo=Ng@?e|`;Kd(ojUF7B3z5(&z%+eGpv_D{cUZ=Ylug3@kuPA<(alVWP zZ!P|o@n6uDQ}B-BCrJ#U(7NL189$Ec8;f6O{3^zOUHoUpPovB;B?$~g+7QH#oC+-7 z{j?!S;vTZ#(5DSS68DgW#D8z#Tm=k4LVSg4LN}LjbFG<~dZ!y+S(h_uO?WM8$a@>{ zsd*LtU%1F}3W&VQpaF*FavjR63Vw=#flpTw)xkz^EcXD#Vs0N0es>#*nqWSNpu31f zZLl+lkUN)o>ZG)M_hoYGgWsUEM4{V_tUkf*pd#*rWc3Z4j7nqfIpnkor;Ga}IsJsw z;+B)sUpNEY+sJ7XPKTRM&VamkP+HtQkDNn7uYnlt_9bVabZ)HXXAMufS|>GGbglMx zkTn7}gtWp`sE6J=ji8vaFLh-ny>sGf6l7`dMsQVsP-_{ORCtU&M0s%S+4jGSrhbT^ z2IX1@{;fe^8`|_EgFt0ZUHw&<9~%TXd$R_CH$eUO1_3I>GX{Z+LAcvfxAxT4pM^=Q z{{^+=X#ZdBnMN(p{#RkS`v0K)&p|EF{?BG3h+3fiXFQ|*C!tUawEwiL32J$~R|d5} z%l`;7ds=?Rf1u@8s0B*?r@$d<$!kud7AX1u!K|K=pYfkk^3QA5TTY@EimZ~~29b+e z>@7vFqXdOoeysPW`Z1&T-+`s${<2m&HgOCP%lIm!Ij^n>o z^3OsWGfMty)S6N9e}~=yjHGU9$)FLc^B+O|KcUWFkD9aUd{S9;KB=El=hLO}PpR{t z0`Di)`8&bPs`ELUe@dNCURIs|I`aLLI-mW^s`Gyi$sBb)XG@Mc|4fiM>U{p;k{osZ ze02G}tU8~{eo^N?g&IVie@46BlsdeRewO?#80z%(3`U_&{|*$8(dm=;C!IdhY&Zvy zp~gPL8VjuBa4i?#0MzsE29?qClgR4%NddR`wAA3fc*~?ukAK$mb3C$|eixm{YWi=% zsQzb~ep)25nto2Ltfrq-j;5bvR?}aB3bUI2?x223)6aZaO+Trurk_++(@!d^=_e(~ zWmapBrhgV{B64}GHAmC`4SE4h|AAJ~JVg6>5QUn4K1TvkY#bk%55mtAb38i)h|(E> zKoscznUt&luf4GWJC9GcuW8tfqNrD2O|3;8tlx&O3~U;?wfY?0J$J2A3Z|~>q!%Zs z)3&t#f(_5x-PR!!ceisPHwWPd15gGXQ5x5GhGrk8YcYdc%4M{r99~=AF^-pzS$BMQ z? zLh_tO9Iokt=LIc10khs7h{h>Pdin^bYNPk}D!nr%|M^J|Yv)KCMSA9Z*?-Mm`5X+{ zf6c`zJ`X}>GEB{eW0~vBZHUPJYpxG1V*IewDQ%uo!CdR3oKh;78||F^*SrURyfm}_ zT4m*O;)MozJCrAC6B^{Lx{B=nYqk2-{tF?ie+lEFNPyQ%W>5xstIZ|h8RV_DQc_eT zR1HXP$yUymYz>w_rJ)oF)(|0-LEh>RLK)<(q26EIpd!HA(~jO`i6& zHVdH?3Rdpc3>S-RfHKKjJAyWDl-ioD7b~+{v-NUic5Aj?$xCm|M-23~W-GfjUp>&< zn&CW=Wh*^_m1}>uJ}Ay^&DO`E?AC03lFucJTk|IaGh4HjyER*|w6k2LD6pU?$ZpM` zO0!!tsH*JNY{Ambd`eMZK~a$1nk`t`$y15~3yOlAt=WR5olK=Du%IZ&Zp{`f?VQ|d zYqnr%r=(I8SWpy@r?zGbmiFw{Y{Ak_Nu?;TpeV?0%@!=}Ia{*@MM2KiY{Al=vo%|= zwC8Ni7A);KTeAht{|~li(fng;UM;(_^@Cm6%H5Um_pyqyyRrpKJDUs50UxS=vnyM< zyRrrAdv;g0V13W-%2yuh?aI~<^a&R93E5rQg8eEyRrrQJKLo62^RDT z4KkqACs?q*XLn@__IJ)IwJTe&zmxKIWefIql4@7BV1Li<$`OjfdhC$&7TuUyIYh4&i@cTDr&C*o=+Qh{z zpTjG!@c8p3=R>N%k`x*T@aIb;&R2N+`4aY4Ug7cQOC-)$c>MViiT|E52bB!p-~%_8 zaRb5|{L&7+qMUE=fi>YbP=S31D5hS-p)7 zGYlb`y0N>Bw%!WP+o=bJ=`H%(srQHJ59>W1O%2zZb>p3ZsU30sQT>?IvJv|0vyMYi zdN0e0B17Nl(`RM~t+f)EtzQjF*9R(ozi2KoggMikfw{!eT;iP6!z1--{kYT{2!=^< z(=9)%Q^lnTDK1S&acM$|OB3g$`j1MNGbguMX+p|L6H-o^kaE(*IjI!NL9-qmrH@HS zvmVH8R+^CF(u5S3CZxDDaSpre5g5i(GlbUK8DO(tEAPvLUImBb5`#eu&aj5(vcBhV zr!yUjDU~5U&E*V8Z62);Ppm@|GC=nHIHugcF~|Ln50uJrR2}#gtAr-_)##Of6yqH} zRJAz2NeBX1BN?s)!?BEY=C2VE0Bfudoy_=QsSSsD0M=ORqnrY;#ztlFQzuG|d(55S zcnT!5tXyn&1h6=T!AxQaGwUi`>@G@yWVZTgToFx**}sHw0kCG9)S>{a+2%602Vl)M zI>{0Md)(n^0Bg4U%dk+2PRSe`P%Y#)O6CwD6o555girw194dr5QECnoLIGHFxDX1! znsFf%fHg-5p#ZEol0-tw09bRJ|2Q^+Iwf;_ka49`GA9H`D4miyDR>YJ??kCNMJS#q z-H*gmAQs@w%A6=QXZi1Eq1!Qx=Imm|m9EL0vNWw6e)u;{O}- zQrTplU&OdlHknH$FHe+qAPtE2FJrtDF6PsLQApy6(qa-jDi4vt$Cka(EPcH345~ zol}}6P^F}lW(iakDWzF50bgZU<)Eku_)5En(kz*PujDECY68CUkAZobB@^(KOa)&} zz}IbHD$SA!_{yoR;HwGvN=XG@O~6<36nr%SUtdB#rCBloUn!~Js|onZ{wd9p3HZ7M zlAdPC1bpS(@H9&%;49~nr&%%qU*CqXr&%%qUuU4po@U7eeBA@CXqFyA4We1P|40wM znt-q0p)6(iG67$2LS9iSnSie(lv2qAd}VVLd^G`IWt1_=SXqHJ30I|5G67%TVIe%W zVgkOBP)a2e@RgKOD!nkygRdswE1wH>eAEPdF`x>*nt-ot zlY*}%;OlHq>iDP$___{NDW#GL_{v$O;HwGvO3H(;Cg3Yc1z%0T*Ai5ylu9PxYaJ-1 zR5AfyS)qcjCg3acDfnsvzLHY#)dYMcrQoXx_)1E_R}=7+l)%@|$9qa86Y%v|)I_Dy z@Clw$$pm8k0=9PreSl3VRjP{|Oi`fo1d1_QAA z_(Tt^`qzYaq5=V{fo^5Ir*-9E$ zS1NCV-dDF$LpSK%^~I@EH|T8!4rQOPQLnQ37pwA9FCa-DmeMyO*OXMlMo{}mRokay z?JZ1Q$du8kJOoJss~Ch^Ca#2;r8f1FADaVGJ{ znZzGw5`UaY{Bb7n$C<<*XA*y$N&L~_2Y!8GoJstx^?sa5{Bb7n$C<<*9hvY~|L8~e z=mmMb+YjxC@qU{4&ws0jq-k}}m&VgHRzCb&_GrUn`d%I5+vy2?Z_VvB9We?T_}`&p z{RTbXqvN261Vo-qI24m3 zFK)G|ixCVR*tH|p-{W?|FrQr85j)wVD?y(P{xcrk1iCZ$agS~Vy*>5O(|U97HN87x zSX`wS^N@T3#6li@8t4I-54U?XKeI1Pjo6GvT$`G^S#LIWcS+r_S?^Vp{;-u@{}NIw zQg2Wyl=>P$pL7}QST9VKd~~c8Ws+_Oo$e@Uei86Rb!y}my-J^&n!81BE=sSgWK+-k zT527Wm-g(Czii7o2jMZjJoV8Qy}D>2?gF(pOrC?}V^f{B>O&@QuA*UD5oX5*BroXG z5u50VZ32B!b$0l+f#&mp*H>wyiAOqZA1O8rEf4gP)Vi&DbIn*}gH1S8W3=?Sa>MDV^mt5+q`AI!40E=XV2J^Li^vzkTSu#VsXXX_3H{X5L5 zHD2+e3PGc11L6apJN3VLm-y=`dPiX z)BJk%w{MyJ0FuR1PwJ58^g%NRph@Xzy%(&sL5`yT0DU^17Tk4dvIX>!?jrexpwC6o zzw`J!kCSeJ3<2nyQ*S({SJx~>Pw2^o**cJ%1_u;d2pTKnHaxqv81KQJ*lkE&jp?9a zz>4sJ1^*^*1hWdsQp`p~;%I$(rQn|}dOCF$iYdAW<9@g|&MzYwa}vq=<*9Akpzq30 zeNCY>TwoK<2GTh<)vz5;PkMDM)EwpfE=-h{+=8#L{- z)TxN-^HZzd)SL7TsmBr6E6>rjLsB1+@p3Bg78qSpy&3FD9mC+U)P)QNq&6zvZ{O0x F{|7OVPf`E? diff --git a/raven_spn/src/raven_spn.cpp b/raven_spn/src/raven_spn.cpp index 2ea6163..34d2cc3 100644 --- a/raven_spn/src/raven_spn.cpp +++ b/raven_spn/src/raven_spn.cpp @@ -49,8 +49,9 @@ int main() { printf("Result Bytes: %d\n", result_bytes); + const uint32_t amount_of_input_samples = 50000; uint32_t step = 10000; - uint32_t iterations = 5; + uint32_t iterations = 40; uint32_t in_beats = (step * sample_bytes) / axi_bytes; if (in_beats * axi_bytes < step * sample_bytes) in_beats++; @@ -78,6 +79,9 @@ int main() { spn::interrupt_reg() = 1; in_addr += step * sample_bytes; // 5 bytes in each sample + if (k == amount_of_input_samples) { + in_addr = 0x20010000; + } } return 0;